打印
[Actel FPGA]

FPGA里面的一个寄存器可读又可写,为什么写总是不正确呢?

[复制链接]
1335|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
yhhdsp|  楼主 | 2009-9-29 12:20 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
FPGA里面的一个寄存器要求可读又可写。现在读是正确的,写为什么总不正确?
如果把写的地址换成和读不重复的,则可以写正确。请问大概是什么原因呢?
我使用的是EBC总线,和ISA总线类似。谢谢大家!

相关帖子

沙发
synics| | 2009-10-24 21:05 | 只看该作者
恩,还是这个问题

使用特权

评论回复
板凳
synics| | 2009-10-24 21:05 | 只看该作者
我已经回答了这个问题。

使用特权

评论回复
地板
synics| | 2009-10-24 21:05 | 只看该作者
你要是想知道,就看看上面的那个问题。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

18

主题

27

帖子

0

粉丝