打印

一个关于D触发器的小问题

[复制链接]
2017|9
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
catiya|  楼主 | 2009-10-16 12:55 | 只看该作者 |只看大图 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 catiya 于 2009-10-16 12:59 编辑

一个关于D触发器的问题,采用quartus7编译并仿真,如图1,



如果在仿真文件中手动将d端置为1,那clk端来一个脉冲,q端由0变为1,此后无论clk怎样变化,q端保持为1,这是我所期望的结果,如图2,



但是如果在程序中直接将d端接到vcc,如图3:



仿真出来q端则一直为高,而与clk无关?如图4:



请问这是什么原因?

谢谢!

相关帖子

沙发
lyjian| | 2009-10-16 13:26 | 只看该作者
上电就触发了

使用特权

评论回复
板凳
catiya|  楼主 | 2009-10-16 13:54 | 只看该作者
不明白。两种方式有什么区别吗?

使用特权

评论回复
地板
lyjian| | 2009-10-16 21:05 | 只看该作者
任何触发器都有一个初始值

使用特权

评论回复
5
shaolinyipan| | 2011-3-22 17:21 | 只看该作者
学习中……

使用特权

评论回复
6
iC921| | 2011-3-22 21:48 | 只看该作者
上电初始值虽然是随机,但与D端直接接VCC有关吗?

使用特权

评论回复
7
iC921| | 2011-3-22 21:48 | 只看该作者
正常情况下,应当在时钟操作前清零

使用特权

评论回复
8
智能电子| | 2011-3-22 22:00 | 只看该作者
在clk从低电平升到高点平时,q随d的变化而变化,所以升高了,在clk变为高电平以后q将一直不变。

使用特权

评论回复
9
mhjerry| | 2011-3-27 20:20 | 只看该作者
由于D触发器特性方程为:Q(n+1)=D  CP上升沿有效,即:CP来一个上升沿,输出端输出此刻的D值,由于你D端接VCC始终为高,故输出也就是高了,不管CLK怎么变咯

使用特权

评论回复
10
shenquan| | 2011-4-25 15:45 | 只看该作者
D触发器clk上升沿有效的话,它只会在上升沿抓取d值并且输出!根据你提供的信息,clk每次抓到d的值都是VCC,输出就不言而喻了吧!

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

45

主题

228

帖子

2

粉丝