打印
[verilog]

错误怎么找啊?

[复制链接]
1538|5
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
魔人布欧|  楼主 | 2016-3-8 21:28 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
ge, ST, RS, tc, edge
module srcn(clk,rst_n);

   input clk,rst_n;
   reg[63:0] sc1,ac1,tc,tc1,s1;
   reg[63:0] pd [1:255][1:255];
   //reg[31:0] nq[0:1];
  
   integer i,j,k,l;

   
        always @(posedge clk or negedge rst_n);
begin
     for(i=1;i<255;i=i+1)
        begin
           for(j=1;j<255;j=j+1)
              begin     
               ac1=64'b0;
               tc=64'b0;
               sc1=64'b0;
                 for(k=0;k<=2;k=k+1)
                     begin
                        for(l=0;l<=2;l=l+1)
                          begin
                            ac1=ac1+pd[i+k][j+l];
                          end
                     end
  for(k=0;k<=2;k=k+1)
     begin
       for(l=0;l<=2;l=l+1)
           begin
             tc1=pd[i+k][j+l]-ac1;
             tc=tc+pd[i+k][j+l]*tc1;
           end
end
  begin : block1
     reg[31:0] nq;
            for(i=0;i<2;i=i+1)
            nq[i]=32'b0;
  end
            s1=tc/9;
       sc1=s1**(1/2);
         
if(sc1<1.5)
   
         begin
       nq[0]=nq[0]+1;
    end
else
    begin
       nq[1]=nq[1]+1;
    end
end
end
end
endmodule
这是原程序,编译之后出现的错误,不知道怎么改,望大神们指点,谢谢!
Error (10170): Verilog HDL syntax error at srcn.v(12) near text "begin";  expecting "endmodule"
Error (10170): Verilog HDL syntax error at srcn.v(50) near text "=";  expecting ".", or an identifier
Error (10112): Ignored design unit "srcn" at srcn.v(1) due to previous errors

相关帖子

沙发
reaperzero| | 2016-3-9 23:47 | 只看该作者
你这个是当C语言来写啊。。。看来是作业。。。

使用特权

评论回复
板凳
魔人布欧|  楼主 | 2016-3-10 09:18 | 只看该作者
reaperzero 发表于 2016-3-9 23:47
你这个是当C语言来写啊。。。看来是作业。。。

硬件语言该怎么去写啊,求指点啊

使用特权

评论回复
地板
玄德| | 2016-3-12 20:50 | 只看该作者

先别说能不能综合,

错误都有行号,就在那附近。

使用特权

评论回复
5
DOTA小海民| | 2016-3-13 01:30 | 只看该作者
不是都有说哪行附近有问题吗  仔细检查吧

使用特权

评论回复
6
happybbs163| | 2016-3-22 20:21 | 只看该作者
第一个always 那一行结尾不需要分号吧?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

20

主题

43

帖子

1

粉丝