打印
[FPGA]

Xilinx FPGA连载74:波形发生器之IP核CORDIC(正弦波)功能..

[复制链接]
715|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
Xilinx FPGA入门连载74:波形发生器之IPCORDIC(正弦波)功能仿真
特权同学,版权所有
配套例程和更多资料下载链接:
http://pan.baidu.com/s/1jGjAhEm

1 Xilinx库设置
         打开文件夹sp6ex30下的ISE工程。
如图所示,切换到“Design à Simulation”界面。鼠标选中“vtf_sp6.v”文件。
         此时,在“Processer:vtf_sp6”下,选择“SimulateBehavioral Model”,然后点击鼠标右键,弹出菜单中选择“ProcessProperties…”。
         如图所示,确认设置好在安装Modelsim过程中编译好的ISELibrary路径。设定完成后点击“OK”回到ISE主界面。
2 功能仿真
         如图所示,双击“Simulate Behavioral Model”开始仿真。
         接着,Modelsim中我们可以查看输出的正弦波波形。当然,我们首先看到的并不是正弦波,需要做一些配置。
         如图所示,在Wave窗口中,选中sin_out信号,单击右键菜单选择“Properties…”。
         进入Properties后,选择“Format”选项卡,做如图所示的设置,完成后点击“OK”。
         接着继续点击“Run -all”按钮,要跑上2s的波形时间(实际时间可能要跑个3-5分钟),我们就能看到一个正弦波出现了。

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

338

主题

338

帖子

28

粉丝