打印

关于for。。。generate的疑问

[复制链接]
1806|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
liyao88818|  楼主 | 2009-12-13 13:16 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
平时见for…generate 语句都是做级联的操作
for…generate 语句能不能做这样的操作
先描述带使能en的计数器counter
port(
  mic_signal :in std_logic;
  en     :in std_logic;
  reset    :in std_logic;
  sum     :out std_logic_vector(6 downto 0));
将一个vector的第i位信号(en_bus)作为第i个计数器的使能端en
另外,把所有计数器的输出也弄成一个总线结构
注:vector的信号是现成的
label:for i in 0 to 15 generate
         counterx:counter port map(mic_signal=>mic_signal , en=>en_bus(i) , reset=>reset , sum=>sum_bus(i));
end generate;

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

3

帖子

1

粉丝