打印
[Actel FPGA]

不知道如何比较Actel与Altera、Xilinx的FPGA

[复制链接]
9460|26
手机看帖
扫描二维码
随时随地手机跟帖
沙发
无语凝咽| | 2009-12-13 23:06 | 只看该作者
同等容量和引脚数目的FPGA
一般来讲
RAM:   Altera比ACTEL大点
I/O:         ACTEL多些
推出的处理器: AlteraNIOS ,ACTEL 为ARM核
结构:ACTEL基于FLASH结构,所以具有很多自己的特性:比如上电运行,不要配置芯片,128位AES加密,等;Altera基于SRAM结构,必须外部有配置芯片,上电时间需要200MS左右等等.

使用特权

评论回复
板凳
3B1105| | 2009-12-13 23:20 | 只看该作者
Actel的宏单元是以Tile来衡量的     
        由于FPGA的资源主要是用“逻辑单元”来表示,但是很难用门电路数来换算衡量,一般会通过LUT(查找表)或者D 触发器来等效衡量,Altera的一个逻辑单元LE有一个四输入的LUT和一个D触发器,Xilinx的一个逻辑单元Slice有两个四输入的LUT和两个D触发器,而Actel的一个逻辑单元Tile可以组合成一个D触发器或者一个三输入的LUT,希望大家对他们之间的换算做到心中有数,也就是说一个LE差不多等同于2个Tile,而一个Slice差不多等效4个Tile。
    相对比,ACTEL是精细颗粒结构,理论上资源可以到达100%。

使用特权

评论回复
地板
3B1105| | 2009-12-13 23:22 | 只看该作者
选型 选型 是个头疼的问题!

使用特权

评论回复
5
linhai1986| | 2009-12-14 13:06 | 只看该作者
学习了

使用特权

评论回复
6
xiaoxin1986| | 2009-12-14 13:21 | 只看该作者
选型真的很烦

使用特权

评论回复
7
llljh| | 2009-12-14 22:34 | 只看该作者
你这说的太笼统了

使用特权

评论回复
8
6019赵文| | 2009-12-15 12:30 | 只看该作者
学习了,还有没有高手详细解释下吧?谢了

使用特权

评论回复
9
huzixian| | 2009-12-15 19:43 | 只看该作者
同感,希望能再说的具体点!

使用特权

评论回复
10
yxwsz| | 2009-12-15 23:09 | 只看该作者
结构不一样;
ALTERA(XILINX,LATTICE)的FPGA内部RAM块较大,比较新的系列上面的RAM块都是18Kb(2K BYTE);
ACTEL的较小,只有512 BYTE;

逻辑单元结构:
ALTERA(XILINX,LATTICE)的逻辑单元为4输入,并且里面还有一个到两个latch; actel的为3输入;
但是,ALTERA(XILINX,LATTICE)的一个单元除了实现查找表之外,还可以同时实现latch;
而actel的只能作为查找表或者latch;
所以导致的结果是, 同样的逻辑,使用ACTEL的FPGA需要使用6K的逻辑单元,但是使用ALTERA(XILINX,LATTICE)的FPGA, 可能小于3K的器件就够了;

所谓精细结构,利用率高,纯属扯/淡;就如老板如果每个月只给你发800块,那你一定会不够花,或者全部花完;若一个月给8000元,那就会花不完有盈余,难道说老板一个月给你发800块是好现象(利用率高)吗?!

使用特权

评论回复
11
linux1| | 2009-12-16 12:34 | 只看该作者
学习了

使用特权

评论回复
12
yoyowodeai| | 2009-12-16 13:08 | 只看该作者
没有什么可以阻挡我们!!共同进步!

使用特权

评论回复
13
金鱼木鱼| | 2009-12-16 19:50 | 只看该作者
学习了,谢谢!

使用特权

评论回复
14
易达口香糖| | 2009-12-16 21:41 | 只看该作者
Actel的宏单元是以Tile来衡量的     
        由于FPGA的资源主要是用“逻辑单元”来表示,但是很难用门电路数来换算衡量,一般会通过LUT(查找表)或者D 触发器来等效衡量,Altera的一个逻辑单元LE有一个四输入的LU ...
3B1105 发表于 2009-12-13 23:20

说的不错

使用特权

评论回复
15
linhai2009| | 2009-12-17 00:22 | 只看该作者
学习了

使用特权

评论回复
16
想实习去| | 2009-12-17 21:23 | 只看该作者
好好看了看,领教了!

使用特权

评论回复
17
xiaoxin1986| | 2009-12-18 12:38 | 只看该作者
LS真热心

使用特权

评论回复
18
思行合一| | 2009-12-18 20:20 | 只看该作者
恩,学习了

使用特权

评论回复
19
米其林r|  楼主 | 2010-2-24 00:17 | 只看该作者
谢谢大家的热心讨论

使用特权

评论回复
20
qiang3a| | 2010-9-16 21:27 | 只看该作者
:victory:

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

340

主题

1587

帖子

3

粉丝