打印
[Actel FPGA]

关于ACTEL FUSION乘法器与浮点数

[复制链接]
2179|8
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
huzixian|  楼主 | 2009-12-28 17:17 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
juventus9554| | 2009-12-28 22:58 | 只看该作者
这个有了吧……

使用特权

评论回复
板凳
贾君鹏子| | 2009-12-28 23:04 | 只看该作者
嗯,应该去年就有了

使用特权

评论回复
地板
liliang9554| | 2009-12-28 23:43 | 只看该作者
去年我用的时候还没有,现在不知道了

使用特权

评论回复
5
linux1| | 2009-12-30 12:04 | 只看该作者
Libero里面自带的乘法器不支持浮点运算的~

使用特权

评论回复
6
maoyanketi| | 2009-12-30 12:16 | 只看该作者
这个应该还没有吧

使用特权

评论回复
7
米其林r| | 2010-1-3 17:17 | 只看该作者
5楼正解

使用特权

评论回复
8
huzixian|  楼主 | 2010-1-7 12:42 | 只看该作者
谢谢大家的热心帮助,这个问题已经解决了,Libero里面自带的乘法器不支持浮点运算的~
结贴了

使用特权

评论回复
9
swolf| | 2010-1-7 19:24 | 只看该作者
用过altera的,LPM宏功能模块里有带浮点运算的乘法器,
没想到Actel没有,希望赶紧改进啊,
呵呵。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

262

主题

1534

帖子

2

粉丝