打印
[Actel FPGA]

设置IO电压的问题

[复制链接]
2743|18
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
linux1|  楼主 | 2009-12-30 12:01 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
maoyanketi| | 2009-12-30 12:14 | 只看该作者
可以在第一次打开Designer软件时选择I/O标准时选择LVCMOS2.5V

使用特权

评论回复
板凳
linux1|  楼主 | 2009-12-30 22:44 | 只看该作者
在designer中将器件选择至LVCOMS2.5后,可以在IO Editor中将IO的属性设置为LVCOMS25_50,但是IO的输出电压还是5V,还需要什么设置才能将输出电压调整到2.5V

使用特权

评论回复
地板
金鱼木鱼| | 2009-12-31 12:24 | 只看该作者
请问下下,你用的器件型号是什么?有些系列的FPGA支持的电压类型比较少,可能不支持你所要求的电压。

使用特权

评论回复
5
思行合一| | 2010-1-3 16:27 | 只看该作者
放假了真是冷清,楼主估计也出去玩了

使用特权

评论回复
6
linux1|  楼主 | 2010-1-3 22:44 | 只看该作者
谢谢大家的热心帮助!

使用特权

评论回复
7
linux1|  楼主 | 2010-1-3 22:44 | 只看该作者
不好意思,刚来!我使用的器件是A3P250

使用特权

评论回复
8
linhai1986| | 2010-1-4 00:05 | 只看该作者
LZ问题解决啦?

使用特权

评论回复
9
手写识别| | 2010-1-4 15:38 | 只看该作者

RE: 设置IO电压的问题

I/O StandardIGLOOFusionProASIC3AxceleratorRTSX-SSX-ACMOS     X CUSTOM     XXGTL+ IGLOOe onlyXProASIC3E and ProASIC3L onlyX  GTL 3.3 V IGLOOe onlyXProASIC3E and ProASIC3L only   GTL 2.5 V IGLOOe onlyXProASIC3E and ProASIC3L onlyX  HSTL Class I
IGLOOe onlyXProASIC3E and ProASIC3L onlyX  HSTL Class II IGLOOe onlyXProASIC3E and ProASIC3L onlyX  LVCMOS 3.3 V IGLOOe onlyXProASIC3E and ProASIC3L only   LVCMOS 2.5 V XXX   LVCMOS 2.5 V/5.0V IGLOOe onlyXXX  LVCMOS 1.8 V XXX   LVCMOS 1.5 V XXXX  LVCMOS 1.2 V XXProASIC3 (A3PL), IGLOOe V2 only, IGLOO V2, and IGLOO PLUS onlyX  LVDS IGLOO and IGLOO PLUS only ProASIC3L only   LVPECL XXXXXXLVTTL/TTL XXXXXXPCI XXXXXXPCI-X 3.3 V XXXX  SSTL2 Class I and II IGLOOe onlyXProASIC3E and ProASIC3L onlyX  SSTL3 Class I and II IGLOOe onlyXProASIC3E and ProASIC3L onlyX  Note:
*Supported only on dedicated LVPECL I/Os.
Note: For a list of I/O standards for all other families, refer to the datasheet for your specific device.

使用特权

评论回复
10
手写识别| | 2010-1-4 15:42 | 只看该作者
不好意思,怎么是这样的,现在用附件发下吧!

新建 Microsoft Word 文档 (3).rar

6.23 KB

使用特权

评论回复
11
手写识别| | 2010-1-4 15:46 | 只看该作者
由上面得附件可以看到A3P250是支持 LVCOMS2.5V电压的,那么如何才能让它输出2.5V呢?

FPGA I/O口分为了不同的BANK,每个BANK都有自己的支持的电压类型,也就是说,你在设计硬件电路的时候就要考虑到,你将用到那些电压类型的I/O口,这些I/O在哪个BANK上,此时你将用到的BANK电压设计成你所需要的电平类型(硬件实现)。



例如:你要设计某个引脚的为LVCOMS2.5V电平类型,假设这个I/O在BANK0。那么,你要将BANK0的电压输入引脚接2.5V电压输入,然后在通过软件配置,就可以达到你所需要的结果了。

使用特权

评论回复
12
beny5566| | 2010-1-4 20:23 | 只看该作者
有可能BANK电压连得不对,
可编程并不是真的随意编,
要有硬件支持的。

使用特权

评论回复
13
lobby| | 2010-1-4 20:40 | 只看该作者
可以在第一次打开Designer软件时选择I/O标准时选择LVCMOS2.5V
maoyanketi 发表于 2009-12-30 12:14

别忘了硬件上也要把所在BANK的电源接2.5V

使用特权

评论回复
14
6019赵文| | 2010-1-6 23:06 | 只看该作者
11楼讲的挺详细的

使用特权

评论回复
15
linhai1986| | 2010-1-6 23:28 | 只看该作者
9楼的回复很暴力啊;P

使用特权

评论回复
16
linux1|  楼主 | 2010-2-21 16:20 | 只看该作者
谢谢大家的热心帮助,结贴了

使用特权

评论回复
17
linhai1986| | 2010-2-21 18:37 | 只看该作者
LZ很少逛论坛啊:)

使用特权

评论回复
18
xiaoxin1986| | 2010-2-21 18:46 | 只看该作者
11楼讲的挺好的,不过好像论坛功能还有待完善

使用特权

评论回复
19
linux1|  楼主 | 2010-2-25 00:06 | 只看该作者
问题已经解决!谢谢!

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

96

主题

455

帖子

0

粉丝