打印
[verilog]

怎样利用RAM里的数据去做其他的运算

[复制链接]
756|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
魔人布欧|  楼主 | 2016-5-5 09:51 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
自己写了一个RAM的存储模块来存储数据,该怎么运用里面的数据做其他的运算啊。用地址该怎么取啊。
module RAM(clk,rst_n,address,WR,RD,datain,dataout);

input clk,rst_n;
input RD,WR,cs;
input [7:0]datain;
input [7:0]address;

output [7:0]pd_k;

reg [7:0]datain;
reg  [7:0] dataout;
reg [7:0] menory[255:0];

always @(posedge clk or negedge rst_n)
  begin
  if(!rst_n)
      menory[address]<=8'b0;
  else if(WR)
     begin
      if((cs==1)&&(RD==0))
        memory[address]<=datain;
     end
  else if(RD)
     begin
       if((cs==1)&&(WR==0))
         dataout<=memory[address];        
     end
   end

endmodule

感觉是不是已经读取了啊。

相关帖子

沙发
cqugjw| | 2016-5-6 10:35 | 只看该作者
reg [7:0] menory[255:0];
这种写法很忌讳的,例化FPGA的Block memory吧。

使用特权

评论回复
板凳
魔人布欧|  楼主 | 2016-5-6 13:42 | 只看该作者
cqugjw 发表于 2016-5-6 10:35
reg [7:0] menory[255:0];
这种写法很忌讳的,例化FPGA的Block memory吧。

你的意思是直接调用里面的RAM IP吗?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

20

主题

43

帖子

1

粉丝