打印
[verilog]

quartus波形仿真时出现错误

[复制链接]
1620|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
JKM99|  楼主 | 2016-7-2 16:31 | 只看该作者 |只看大图 回帖奖励 |倒序浏览 |阅读模式
沙发
waiter| | 2016-7-3 20:51 | 只看该作者
虽然不知道你这样写的设计目标是什么,但是你这个语法有几点需要改进:
1,用 always 描述组合逻辑,不能用  <= 这种赋值语句。要用 = 的阻塞性赋值;
2,设计文件本身似乎没有语法错误;
3,那就估计是你的测试平台文件有错误。
初步猜测,估计你在测试平台中,定义的a的方式是  reg [7:0] a;
但是注意你在设计模块中定义的是      input [SIZE:1] a;

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

4

主题

31

帖子

0

粉丝