打印

每次都有上次 的数据残留

[复制链接]
635|8
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
llljh|  楼主 | 2016-7-9 20:32 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
68013A采集FPGA的数据再传到PC上,可每次都有上次 的数据残留,请问各位大神,该怎么解决……
沙发
dingy| | 2016-7-9 20:34 | 只看该作者
这个为真没有遇到过…………

使用特权

评论回复
板凳
llljh|  楼主 | 2016-7-9 20:36 | 只看该作者

复位端点缓存按理说应该是可以吧这些数据清除掉的吧,可是我在感觉自己添加的代码没气作用呢??FIFORESET=0x80;SYNCDELAY;
FIFORESET=0x02;SYNCDELAY;
FIFORESET=0x06;SYNCDELAY;
FIFORESET=0x00;SYNCDELAY;

使用特权

评论回复
地板
pengf| | 2016-7-9 20:39 | 只看该作者
我感觉应该是你最后的数据包没有处理好。

使用特权

评论回复
5
renyaq| | 2016-7-9 20:41 | 只看该作者
如果最后的数据包没有满的话,CY7C68013 可能不会把它commit到USB。

使用特权

评论回复
6
supernan| | 2016-7-9 20:42 | 只看该作者
一般CY7C68013 有两种做法,一是使用PKTEND强制不满的包发送出去, 另一种是将不满的包填充无关的字节,填写满。

使用特权

评论回复
7
栩栩如生| | 2016-7-9 20:45 | 只看该作者
一般常使用 EPxFIFOCFG.2 = 1 和PKTEND pin 允许CY7C68013 发送零长度的数据包。

使用特权

评论回复
8
houcs| | 2016-7-9 20:48 | 只看该作者
可以把你的fpga与68013a间 的通信源程序分享一下么?

使用特权

评论回复
9
llljh|  楼主 | 2016-7-9 20:51 | 只看该作者
明白了,结贴

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

855

主题

11301

帖子

6

粉丝