打印
[verilog]

Verilog-DS18B20-串口输出温度值

[复制链接]
2143|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
湖南飞哥|  楼主 | 2016-9-14 20:00 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式


DS18B20  的数据采集程序

三个状态机,1个控制复位总线,1个控制总线读写字节数据,最后控制读取温度时序和温度换算,温度精度0.1度,205 表示20.5度

串口发送状态机,带FIFO功能,数据9600 n 8 1串口自动发送出来,4字节,后两个字节为温度值,亲自测试通过

时钟输入50M

源文件Quartus

verilog_DS18B20.rar

9.27 KB

源代码

相关帖子

沙发
alex0321| | 2019-5-15 10:46 | 只看该作者
非常好啊,感谢LZ提供!

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

10

主题

35

帖子

1

粉丝