打印
[FPGA]

求助各位大神,仿真一个200分频时钟,不知为何产生结果...

[复制链接]
604|4
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
求助各位大神,FPGA仿真200分频,可是产生结果是未知,附件里面有详细的程序以及激励的程序,不知道自己哪块写错了,拜托各位大神啦
程序是先对时钟进行十分频,再进行20分频最终得到200分频

10分频.png (60.57 KB )

10分频.png

20分频.png (61.35 KB )

20分频.png

200分频.png (59.02 KB )

200分频.png

激励程序.png (57.22 KB )

激励程序.png

最终结果.png (27.84 KB )

最终结果.png

相关帖子

沙发
jinzhuzhechixp|  楼主 | 2016-10-26 17:10 | 只看该作者
真心拜托各位大神

使用特权

评论回复
板凳
jinzhuzhechixp|  楼主 | 2016-10-26 17:11 | 只看该作者
纠结了好久也没解决出来

使用特权

评论回复
地板
玄德| | 2016-10-26 23:57 | 只看该作者

不懂VHDL,但好像没有加复位逻辑?


使用特权

评论回复
5
小鱼丸| | 2016-10-28 13:55 | 只看该作者
把它clk<=not clk;after clk 20ns去掉你看看

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

5

主题

12

帖子

1

粉丝