打印
[modelsim]

[求助]新手学习modelsim仿真后一直处于如下界面

[复制链接]
1209|4
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主

二分频器的源程序
module divider_frequency(clk_in,rst,clk_out);
input clk_in,rst;
output clk_out;
wire clk_out;
reg clk_temp;
always@(posedge clk_in or negedge rst)
begin
  if(!rst)clk_temp=0;
  else
    clk_temp=~clk_temp;
end
assign clk_out=clk_temp;
endmodule
二分频器的测试程序
`timescale 1ns/1ns
module divider_frequency_tb();
reg clk_in;
reg rst;
wire clk_out;
divider_frequency u0(
  .clk_in(clk_in),
  .rst(rst),
  .clk_out(clk_out)
  );
initial
begin
  clk_in=0;
  rst=0;
  #100 rst=1;
end
always#1000 clk_in=~clk_in;
endmodule




相关帖子

沙发
aha你不是我啊|  楼主 | 2016-10-29 22:41 | 只看该作者
求大神带,谢谢

使用特权

评论回复
板凳
aha你不是我啊|  楼主 | 2016-10-30 11:06 | 只看该作者
大神求带

使用特权

评论回复
地板
hufengweixx| | 2017-8-22 21:03 | 只看该作者
点击library,找到当前work,双击需要仿真的顶层或者测试文件即可

使用特权

评论回复
5
waiter| | 2017-8-28 09:08 | 只看该作者
hufengweixx 发表于 2017-8-22 21:03
点击library,找到当前work,双击需要仿真的顶层或者测试文件即可

好老的一个帖子终于浮出水面,xxxyyy神秘的面纱终于要被揭开了.....

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

8

帖子

0

粉丝