打印
[Actel FPGA]

引脚分配时没有用户自定义管脚,请问怎么弄才行?

[复制链接]
1583|5
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
S3C2440|  楼主 | 2010-4-25 19:36 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
本人用的是Fusion StartKit开发板,我想用用户自定义I/O作为输入口,但在引脚锁定的时候,并没有用户自定义输入输出口,请大家帮帮忙,谢谢

相关帖子

沙发
yoyowodeai| | 2010-4-25 19:38 | 只看该作者
这个可能是优化了,你可以在Synplify中看看Technology View

使用特权

评论回复
板凳
S3C2440|  楼主 | 2010-4-25 20:08 | 只看该作者
看了,可我看不出有什么问题,我的意思是说:我想把程序中的一些输入管脚绑定到用户自定义接口(AG4--AG9)上,对应的FPGA管脚号就应该是P8,N8,N10,M11,P12,R13嘛哦。可是在绑管脚的时候并没有这些,p8 ……r13管脚。如果绑到mini接口是可以的,但那边能作为输入口的都被我用光啦!

使用特权

评论回复
地板
金鱼木鱼| | 2010-4-25 20:12 | 只看该作者
模拟的I/O口是不可以直接绑定数字输入的,你需要analog system builder里面例化一个Direct digital input

使用特权

评论回复
5
S3C2440|  楼主 | 2010-4-25 20:19 | 只看该作者
在例化的Direct digital input 里,Package pin 这一项 也没有出现有:P8,N8,N10,M11,P12,R13这六个中的任何一个啊,别的倒有很多(如L8,L9……M6,M7,M10……)怎么办...

使用特权

评论回复
6
米其林r| | 2010-4-25 20:25 | 只看该作者
在布局布线引脚锁定时才可以看到

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

95

主题

400

帖子

1

粉丝