打印
[Actel FPGA]

引脚分配时没有用户自定义管脚的问题

[复制链接]
1098|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
北京户口|  楼主 | 2010-4-25 19:59 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
问题描述:在使用Fusion StartKit开发板时,想用用户自定义I/O作为输入口,但在引脚锁定的时候,并没有用户自定义输入输出口。我想把程序中的一些输入管脚绑定到用户自定义接口(AG4--AG9)上,对应的FPGA管脚号就应该是P8,N8,N10,M11,P12,R13,但是在绑管脚的时候并没有这些p8 ……r13管脚。如果绑到mini接口是可以的,但那边能作为输入口的都被我用光了,怎么解决?

解决方法:模拟的I/O口是不可以直接绑定数字输入的,这需要再analog system builder里面例化一个Direct digital input,这些引脚在布局布线引脚锁定时可以看到。

相关帖子

沙发
金鱼木鱼| | 2010-4-25 20:13 | 只看该作者
学习了,多谢lz分享

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

107

主题

521

帖子

1

粉丝