打印
[FPGA]

例说FPGA连载99:双摄像头图像采集之功能概述

[复制链接]
843|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
例说FPGA连载99:双摄像头图像采集之功能概述
特权同学,版权所有
配套例程和更多资料下载链接:
http://pan.baidu.com/s/1c0nf6Qc
         本实例功能框图20.1如下所示。摄像头为Micron自带ISP的200万像素CMOS摄像头MT9D111,两个摄像头分别连接到我们的VIP核心板,FPGA实现两个摄像头的寄存器初始化配置,并且采集640*480的输入图像,缓存到DDR2中,接着读取DDR2中图像,并驱动1280*720分辨率的VGA显示器,显示出这两个摄像头采集到的图像。
图20.1 工程实例18功能框图

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

338

主题

338

帖子

28

粉丝