打印

FPGA与单片机的通信

[复制链接]
1213|6
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
ngyg12|  楼主 | 2010-5-30 23:24 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
ayb_ice| | 2010-5-31 09:14 | 只看该作者
这要看FPGA是什么接口

使用特权

评论回复
板凳
ljolove| | 2010-5-31 09:43 | 只看该作者
最简单的方法,弄个8位数据线,一个读一个写,然后一个片选,就可以实现基本的通讯了

使用特权

评论回复
地板
ngyg12|  楼主 | 2010-5-31 14:35 | 只看该作者
是不是跟操作 8255 一样的啊!!!

使用特权

评论回复
5
ayb_ice| | 2010-5-31 14:52 | 只看该作者
都说了取决于FPGA了,什么并口,串口,同步,异步随意整,只要你写程序

使用特权

评论回复
6
ljolove| | 2010-5-31 15:00 | 只看该作者
通讯协议可以自己定义,比如你要往FPGA写数据,就把写和片选置高,然后把你要写的数据往数据总线上一送就行了,要从FPGA往单片机读数据呢,就把读和片选置高,然后把让FPGA把数据往数据总线上一送就行了,这是基本的你还可以加上几跟地址线之类的做一些扩展之类的.

使用特权

评论回复
7
ngyg12|  楼主 | 2010-6-1 08:58 | 只看该作者
o  这样子的啊! 谢谢哦..

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

5

主题

553

帖子

5

粉丝