打印

500MHz分频问题

[复制链接]
3773|22
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
沙发
chunyang| | 2010-8-27 20:36 | 只看该作者
搭个变频器电路,可直接转成你需要的任何频率,只是需要搭个本振电路,以下变频器为例,输入频率-本振频率=输出频率,本振可用PLL电路实现。这个电路就是利用了收音机的原理。

使用特权

评论回复
评分
参与人数 1威望 +1 收起 理由
PowerAnts + 1
板凳
mmax| | 2010-8-27 21:43 | 只看该作者
输入=>比较器=>计数器=>比较分频输出

使用特权

评论回复
地板
chunyang| | 2010-8-27 23:11 | 只看该作者
楼上电路是直线式思维,成本非常高,器件更是极难弄到。

使用特权

评论回复
5
bbyeah| | 2010-8-28 02:57 | 只看该作者
500M想用数字IC的话,怕是要上ECL逻辑了

使用特权

评论回复
6
drentsi| | 2010-8-28 08:16 | 只看该作者
如果有高端一点的FPGA,直接就搞定。
廉价的做法,前端用一个ECL反相器和一个ECL触发器,进行二分频,得道250MHz后随便找个CPLD接着继续分频

使用特权

评论回复
7
mic1984| | 2010-8-28 10:13 | 只看该作者
直接地方法是用前置分频器芯片预分频(frequency prescaler),这些分频器的分频比比较小,但是其工作频率很高。经过这种分频器之后的信号频率就可以用一般的逻辑器件处理了。高端的FPGA和用ECL成本都太高了。

使用特权

评论回复
8
GavinZ| | 2010-8-28 11:20 | 只看该作者
不考虑相位的话,就用楼上某些同志的说法,先prescaler,这样的器件有IC可用。
要考虑相位的话,那就PLL,或者是时钟分布类的IC.

使用特权

评论回复
9
mmax| | 2010-8-28 18:58 | 只看该作者
最近刚好在用FPGA,所以就推荐楼主用传统的数字方式做了,呵呵。没想到速度是问题。
一般的FPGA处理200M信号的计数应该没有问题吧。

不知道可不可以直接用FPGA的PLL模块做呢,我用的 cyclone III的PLL刚好支持470M的输入。
500M应该也可以用吧。

才几十块钱。

使用特权

评论回复
10
AD9851| | 2010-8-28 22:41 | 只看该作者
把PLL频率合成变相应用一下就OK了,成本至少比低端的cyclone III少5倍

使用特权

评论回复
11
xwj| | 2010-8-28 23:15 | 只看该作者
LS正解。

很明显,应该用射频频率合成的预分频器,简单廉价的东西,
别说500M,就算是5G都会被你用FPGA便宜得多

使用特权

评论回复
12
PowerAnts| | 2010-8-29 00:07 | 只看该作者
很遗憾的是,几乎所有的PLL芯片,都没有引出分频后的信号,而是在内部就与基准时钟也分频为一个步进频率后,去鉴相。再输出一个误差电压,经环路滤波器后去控制VCO。

使用特权

评论回复
13
bbyeah| | 2010-8-29 04:31 | 只看该作者
直接地方法是用前置分频器芯片预分频(frequency prescaler),这些分频器的分频比比较小,但是其工作频率很高。经过这种分频器之后的信号频率就可以用一般的逻辑器件处理了。高端的FPGA和用ECL成本都太高了。 ...
mic1984 发表于 2010-8-28 10:13

你说的是这类东西么?这玩意就是ECL逻辑的触发器嘛

使用特权

评论回复
14
xwj| | 2010-8-29 08:49 | 只看该作者
是的,蚂蚁没注意我说的“预分频器”

只是LZ要的分频比是402,等于2*3*67
这个分频比67的可不好找,老x只找到63/64/65/126/127/128/129等分频比的:)


http://www.21icsearch.com:8080/s_MC120=6.html

使用特权

评论回复
15
AD9851| | 2010-8-29 11:01 | 只看该作者
我说的是 把PLL频率合成变相应用,老X这次思想直来直往了。

Snap3.jpg (59.24 KB )

Snap3.jpg

使用特权

评论回复
16
xwj| | 2010-8-29 11:12 | 只看该作者
LS,PLL一般都没有那么高的直接频率的

使用特权

评论回复
17
AD9851| | 2010-8-29 11:13 | 只看该作者
本帖最后由 AD9851 于 2010-8-29 11:15 编辑

而蚂蚁的担心也是有些多余,有引出分频后的信号的PLL芯片一抓一大把,如MB1501,MC145151~MC145158,ADF4xxx系列等等

对于上图,从PIN8输入500M信号,SW位写1,Swallow Counter设为18,Programmable Counter设为6,fout=fin/(6*64+18),PIN14输出就是402分频后的1.243MHz信号了。

使用特权

评论回复
18
xwj| | 2010-8-29 11:14 | 只看该作者
而且高频率的分频后的频率是没输出的,直接进集成的鉴相器了

使用特权

评论回复
19
xwj| | 2010-8-29 11:22 | 只看该作者
嗯,MB1501 就可以了,老x孤陋寡闻了。

使用特权

评论回复
20
rockli| | 2010-8-29 22:07 | 只看该作者
AD9858 DDS分频

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

6

帖子

0

粉丝