打印
[Actel FPGA]

I/O管脚如何设置成OC门

[复制链接]
1285|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
FVJFIFE|  楼主 | 2010-9-28 20:31 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
杜_U_ME| | 2010-9-28 20:57 | 只看该作者
Actel FPGA没有设置为集电极开漏输出的OC门

使用特权

评论回复
板凳
金鱼木鱼| | 2010-9-29 22:28 | 只看该作者
ls正解

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

897

帖子

1

粉丝