打印

IBUFDS、IBUFGDS和OBUFDS

[复制链接]
2889|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
collus|  楼主 | 2010-10-11 15:24 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
IBUFDS、IBUFGDS和OBUFDS都是差分信号缓冲器,用于不同电平接口之间的缓冲和转换。IBUFDS 是差分输入的时候用,OBUFDS是差分输出的时候用,而IBUFGDS则是时钟信号专用的输入缓冲器。
下面详细说明:
IBUFDS
Differential Signaling Input Buffer with Selectable I/O Interface
//差分输入时钟缓冲器
IBUFDS是一个输入缓冲器,支持低压差分信号(如LVCMOS、LVDS等)。在IBUFDS中,一个电平接口用两个独特的电平接口(I和IB)表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。
Inputs
Outputs
I
IB
O
0
0
No Change
0
1
0
1
0
1
1
1
No Change

Verilog Instantiation Template
IBUFDS instance_name (.O (user_O),
                      .I (user_I),
                      .IB (user_IB));
IBUFGDS
Dedicated(专用的) Differential Signaling Input Buffer with Selectable I/O Interface
//专用差分输入时钟缓冲器
IBUFGDS是一个连接时钟信号BUFG或DCM的专用的差分信号输入缓冲器。在IBUFGDS中,一个电平接口用两个独立的电平接口(I和IB)表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。
Inputs
Outputs
I
IB
O
0
0
- *
0
1
0
1
0
1
1
1
- *

* The dash (-) means No Change.
Verilog Instantiation Template
IBUFGDS instance_name (.O (user_O),
                       .I (user_I),
                       .IB (user_IB));
OBUFDS
Differential Signaling Output Buffer with Selectable I/O Interface
//差分输出时钟缓冲器
OBUFDS是一个输出缓冲器,支持低压差分信号。OBUFDS隔离出了内电路并向芯片上的信号提供驱动电流。它的输出用O和OB两个独立接口表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是,相位相反。
Inputs
Outputs
I
O
OB
0
0
1
1
1
0

Verilog Instantiation Template
OBUFDS instance_name (.O (user_O),
                      .OB (user_OB),
                      .I (user_I));

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:collus

0

主题

71

帖子

1

粉丝