打印

ISE工程如果复制到另外一个路径下,configure device/return all出错?

[复制链接]
2406|17
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
SwPwr|  楼主 | 2010-10-14 00:32 | 只看该作者 |只看大图 回帖奖励 |倒序浏览 |阅读模式
沙发
sleepybear| | 2010-10-14 08:29 | 只看该作者
工程路径不要有空格,空格用下划线代替。

使用特权

评论回复
板凳
SuperX-man| | 2010-10-14 09:41 | 只看该作者
并且你的复制目的地路径,不能含有中文

使用特权

评论回复
地板
ayayayay| | 2010-10-15 21:36 | 只看该作者
我们教学用Foundation 3.1的也是这样。。。

使用特权

评论回复
5
SwPwr|  楼主 | 2010-10-15 23:05 | 只看该作者
工程路径不要有空格,空格用下划线代替。
sleepybear 发表于 2010-10-14 08:29


路径没有空格,也没有中文字,就是从一个地方复制到另外一个地方,就出现综合出错了.

使用特权

评论回复
6
SuperX-man| | 2010-10-16 09:03 | 只看该作者
能把你的出错信息和两个路径地址都贴出来么?

使用特权

评论回复
7
钻研的鱼| | 2010-10-16 09:16 | 只看该作者
一般不会。但ised、软件有个缺点,如果有Rom数据,他还是会记得是老路径

使用特权

评论回复
8
SwPwr|  楼主 | 2010-10-26 10:36 | 只看该作者


用的是ISE9.1i 问题描述错误,在此更正一下: 1复制到新路径后,操作generate programming file/return all 都是成功的 2当然执行configure device/return all 时则出现如图所示,图中提示的路径是首次建此工程时的文件夹路径,现在工程文件夹在另一路径下 3如果我把当前的文件夹路径设置成图片中所提示的找不到的路径,则configure device/return all成功

使用特权

评论回复
9
sleepybear| | 2010-10-26 16:41 | 只看该作者
在ISE外单独开iMPACT,boundary scan,加载下载文件何如?

使用特权

评论回复
10
SuperX-man| | 2010-10-26 20:36 | 只看该作者
generate programming file成功的话,说明已经生成好了bit文件了.
后面出错是下载的时候有问题.
如楼上所说的,单开下试试看.或者你右击Configure Drive看看,时候有些默认设置路径没改过来.

使用特权

评论回复
11
SwPwr|  楼主 | 2010-10-27 11:29 | 只看该作者
to 10楼:
"如楼上所说的,单开下试试看.或者你右击Configure Drive看看,时候有些默认设置路径没改过来."
我还是找不到可以修改路径的地方,请教下在哪里可以设置?

使用特权

评论回复
12
SuperX-man| | 2010-10-27 17:38 | 只看该作者
今天特地装了一下ISE9.1 想帮你看一下,发现和你贴图的界面很不一样....
你的XST是在Implement design下的....
你用的确实是9.1i么,能把版本号告诉我下么.

使用特权

评论回复
13
SwPwr|  楼主 | 2010-10-27 18:48 | 只看该作者
确实是xilinx ise 9.1i  用的SN是:ISE91:5307-3074-2282-2277
我建的工程是CPLD的

使用特权

评论回复
14
SwPwr|  楼主 | 2010-10-27 18:51 | 只看该作者
to 9 楼:
"在ISE外单独开iMPACT"......
也出现同样提示

to 12楼:
"今天特地装了一下ISE9.1 想帮你看一下, "
非常感谢版主啊

使用特权

评论回复
15
SuperX-man| | 2010-10-27 19:52 | 只看该作者
如果你单开IMPACT也还是这个问题的话,那你再复制到新的文件夹下后,有没有重新编译过,清除然后编译.
ISE是属于递进编译的,没有改动,就不会编译,所以可能造成某些drive的指向还是老的.
或者你这样,新建一个工程,然后把你用添加的方法导入进去,然后再下,试试看.

小版我也不是全能,和大家探讨探讨也能增长自己的经验.呵呵

使用特权

评论回复
16
SwPwr|  楼主 | 2010-10-27 21:09 | 只看该作者
to 15楼:
1试过清除后编译,然后configure device/return 还是提示路径出错;
2新建一个工程,是不会有问题了.我的本意是,整个工程文件夹复制到另外一个路径下的文件夹后,仍然可以configure device/return 成功就最好了.

使用特权

评论回复
17
SuperX-man| | 2010-10-28 10:32 | 只看该作者
小版我明天找时间试验下,我们内部调试的时候经常复制来,复制去的,没遇见过,呵呵.
肯定是哪里有个设置没有弄好.
问一下,提示错误信息的那个文件Stepdrive.jed在你转移了工程后,在原来的位置也有么.
这个文件是你工程中用到的驱动文件或者下载关联文件么?

使用特权

评论回复
18
SuperX-man| | 2010-10-29 11:06 | 只看该作者
今天小版我用9.1i建立了一个CPLD工程,做了个最简单的.呵呵.
在你执行configure device/return 的时候,有没有出现iMPACT向导.

你这个错误在这个向导前出现,还是在向导后出现.

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

3

主题

66

帖子

0

粉丝