打印

单片机产生时钟信号给FPGA同时接受FPGA发送的串行数据?

[复制链接]
2014|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
nanguamache|  楼主 | 2010-10-23 13:08 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
首先FPGA的时钟信号由单片机提供,然后让FPGA输出一串波形,我希望单片机可以边发送时钟边接受波形可以做到吗??现在单片机编程有点困难,单片机与FPGA只有四个信号线相连(CPUCLK,CPUDatR,CPUDatS,CPULat),这样的程序应该怎么写呢?要是还想同时通过单片机串口发送给计算机呢?

相关帖子

沙发
mr.king| | 2010-10-24 08:27 | 只看该作者
容易,因为FPGA可以在时钟控制下工作,单片机提供时钟,你当然自由控制,等你处理完一个数据再发下一个时钟

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

53

帖子

0

粉丝