打印

求教一个Verilog的编程问题,高手进

[复制链接]
2008|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
jadetang|  楼主 | 2010-12-6 18:47 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
想法是编写一个寄存器,通过总线收发数据。但是总线确不知道是定义成wire还是reg型的。编译的时候报错:v(19): (vlog-2110) Illegal reference to net "bus
源代码如下:
`timescale 1ns/1ns
module register( bus, enout, load,clk );
    inout [7:0]bus;
    input load, enout, clk;
    wire [7:0]bus;
    reg [7:0]inside;
    initial
    begin
    inside <= 8'b000_0000;
    end
    always @( posedge clk )
    begin
        if ( load )
           begin
           inside <= bus;
           end
        else if ( enout )
             begin
             bus <= inside;
             end
    end
endmodule

相关帖子

沙发
liaojiededepan| | 2011-8-6 18:17 | 只看该作者
有人说inout 这种东西是不能随便乱用的,一旦用错就会在
Quartus II 中编译通过,但是Modelsim就编译不通过

使用特权

评论回复
板凳
wang0606080226| | 2011-8-8 10:42 | 只看该作者
wire 和reg 主要是看,在你的系统内部接口是接到外边,还是内部连接线,如果是内部连接线的话,就WIRE,如果外接最好reg

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

51

主题

108

帖子

0

粉丝