打印

2440使用总线接口访问FPGA的片选问题

[复制链接]
2671|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
flyingdon|  楼主 | 2010-12-27 12:56 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
最近在做一个开发,主要是使用ARM解码WAV文件,然后将WAV文件的数据通过数据总线发送给FPGA,在FPGA里做并串变换后数据再送给一个DA芯片,输出音频信号。我用nGCS1所在的地址0X0X08000000作为发送数据的起始地址,在驱动中做了物理地址到虚拟地址的映射工作,可是当我对一个地址进行写操作时,发现nGCS1会送出两个低电平,使用示波器检测写信号nWE发现,在nGCS1第一个低脉冲时有nWE写操作,第二个nGCS1低脉冲没有同时nWE写操作。看了手册上的说明,时序图上的意思应该是读写外部地址时只发出一次片选信号呀。我现在读取到FPGA中的数据有点问题,想看看和这个情况有没有关系。
对BANK的寄存器我设置了BWSCON =0X221d1110,就是设计在nGCS1片选有效的地址空间里使用16位的数据读写总线宽度,BANKCON1使用默认的0x0700,写数据使用的是  IOWRITE16()。这里想咨询一下还需要进行其他的寄存器设置吗? 谢谢

相关帖子

沙发
flyingdon|  楼主 | 2010-12-30 13:03 | 只看该作者
别沉底了,顶起来!

使用特权

评论回复
板凳
xiayutianqing| | 2010-12-30 15:28 | 只看该作者
ARM 和FPGA 交叉的东西,搞的人不多

使用特权

评论回复
地板
swg001| | 2011-5-27 15:28 | 只看该作者
你的问题解决了没有啊??

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

2

主题

4

帖子

0

粉丝