打印

新手请教一个PWM的问题

[复制链接]
1339|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
rlp4355|  楼主 | 2011-1-17 11:26 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
为什么我用下面的程序实验啊得不到占空比为20%的方波,是不是还有那个库函数没用到?
int main(void)
{
    S_DRVPWM_TIME_DATA_T sPt;
    sPt.u8Mode = DRVPWM_AUTO_RELOAD_MODE;
    sPt.u8HighPulseRatio = 50;
    sPt.i32Inverter = 0;
    sPt.u32Frequency = 2000;
    DrvPWM_SelectClockSource ( DRVPWM_TIMER0, DRVPWM_HCLK);
    DrvPWM_SetTimerClk( DRVPWM_TIMER0, &sPt);
    DrvPWM_SetTimerIO ( DRVPWM_TIMER0, 1);
    DrvPWM_Enable ( DRVPWM_TIMER0, 1);
    while(1);
}

相关帖子

沙发
我爸是李刚| | 2011-1-18 17:05 | 只看该作者
咱能把源代码发上来不,光上面的代码想帮你看都没有办法看

使用特权

评论回复
板凳
kyzb001| | 2011-1-18 17:21 | 只看该作者
..

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

17

帖子

1

粉丝