打印

verilog if语句的疑问

[复制链接]
2358|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
XLDZZ|  楼主 | 2011-1-24 17:14 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
module countpro( clk,led1,led2,s1,s2);
input  clk,s1,s2;
output led1,led2;
reg  led1;
reg  led2;
wire s1;
wire s2;
always @(posedge clk)
begin
  if( s1==1'b1 )
   begin
   led1 <= 1'b1 ;
   led2<=1'b1;
   end
  else
   led1 <= 1'b0;
   led2<=1'b0;
end

endmodule

写这段代码的用意是想用来试验begin---end块下面的语句是并行的还是串行的
仿真时给的条件是 CLK=20NS方波 S1=S2=20US方波  结果led1输出20us方波
(有一个clk周期的延迟) 但是LED2始终输出低电平。难道verilog中不能同时使用
两个变量赋值(本来想看看LED2是否输出滞后LED1 1个CLK时钟周期.

相关帖子

沙发
XLDZZ|  楼主 | 2011-1-25 10:46 | 只看该作者
怎么没人回答我的问题,没人使用Verilog??

使用特权

评论回复
板凳
XLDZZ|  楼主 | 2011-1-25 20:51 | 只看该作者
算了 自己想明白了 就是要把else后面的语句也用 begin end包围起来就OK了

使用特权

评论回复
地板
dufei85| | 2011-1-25 21:46 | 只看该作者
你这个发了FPGA专区 这里主要是讨论电子方面的东西。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

64

主题

182

帖子

0

粉丝