打印

用ISE11.1 MAP时一直卡着不动,出现如下问题?

[复制链接]
1289|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
jiyiche|  楼主 | 2011-3-5 12:19 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
WARNING: LIT:243 - Logical network rx_IBUF has no load.
WARNING:   LIT:395 - The above warning message base_net_load_rule is repeated 2 more times for the following (max. 5 shown): processor/read_strobe, instance_name/CLKFX_OUT To see the details of these warning messages, please use the -detail switch.
Mapping design into LUTs...

以上是控制台输出的提示信息,不知道问题出在哪里?

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

176

主题

484

帖子

0

粉丝