打印

cpld能传输时钟吗,该怎么弄啊?

[复制链接]
2738|5
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
neuhalo|  楼主 | 2011-3-18 14:14 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
现在有一个功能要求50MHz的时钟信号从cpld的一个IO输入,另一个IO输出,中间不做控制,cpld只起到直连的作用,现在直接简单的把两个IO连起来,时钟信号无法输出,请问正确的做法是怎么样的,需要外接高频晶振采样然后再输出吗,刚研究cpld,请帮帮忙吧,谢谢了!

相关帖子

沙发
dqyubsh| | 2011-3-19 11:40 | 只看该作者
一般接在CLK管脚。你怎么量的,多少兆的示波器?

使用特权

评论回复
板凳
sxhhhjicbb| | 2011-3-20 15:04 | 只看该作者
一定可以的.不要担心可行性.普通的IO都可以输出50-200M的时钟作为外部的时钟使用.LS的建议可以看一下.

使用特权

评论回复
地板
zilaifun| | 2011-3-22 14:06 | 只看该作者
肯定可以,普通的I/O就可以,如果用专用clk管脚就更好了。

使用特权

评论回复
5
icecut| | 2011-3-22 20:06 | 只看该作者
就是有点相位差吧?

使用特权

评论回复
6
wyxj| | 2011-3-23 11:57 | 只看该作者
个人意见:我用的是vhdl语言你可以试着用信号赋值语句试试!如果不行就加一个中间信号,应该可以达到你的要求!

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

3

主题

5

帖子

0

粉丝