打印
[matlab]

软件仿真VHDL语言的一个问题

[复制链接]
2996|9
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
amini|  楼主 | 2011-3-20 21:23 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
综合时出现这个警告:
warn:the design contains one or more registers/latches that are directly incompatible with the spartan6 architecture.the two primary causes of this is either a register or latch described with beth an asynchronous set and asynchronous reset.or a register or catch described with an asynchronous polarity
对结果会是什么样影响啊?

相关帖子

沙发
atua| | 2011-3-21 08:40 | 只看该作者
对FPGA内部结构特性没有仔细研究就看是写代码了吧?FPGA设计好比小孩玩的积木,就那么多形状,要想玩又好又快就得顺着这些基本模块的特性进行排列组合,而不能把这些基本模块改来改去:)

使用特权

评论回复
板凳
dan_xb| | 2011-3-21 10:39 | 只看该作者
你是不是写了一个寄存器,又通过时钟给数,又有异步Reset,又有外面的信号进行置1?
你自己优化一下代码吧
那个异步Reset,为啥初学者都是写异步Reset呢?你这样工具不能分析Reset的时序,很容易出错。这都是什么老师教的啊。最好的是把Reset进来以后打两拍,然后上全局时钟网络,作为Reset。

使用特权

评论回复
地板
SuperX-man| | 2011-3-21 10:48 | 只看该作者
d大侠 能够写个范例让小的门膜拜下

使用特权

评论回复
5
bairan168| | 2011-3-30 15:28 | 只看该作者
thanks,

使用特权

评论回复
6
七叶一枝花| | 2011-3-30 15:46 | 只看该作者
谢谢。

使用特权

评论回复
7
ladygaga| | 2011-6-26 22:46 | 只看该作者
期待。。。

使用特权

评论回复
8
GoldSunMonkey| | 2011-6-27 03:56 | 只看该作者
4# SuperX-man
哈哈,本来我准备写的,你都点名了,我就放弃了~~

使用特权

评论回复
9
AutoESL| | 2011-7-9 14:34 | 只看该作者
你写吧,大家照样期待。
点名之前你没来,要不就点你了:D

使用特权

评论回复
10
GoldSunMonkey| | 2011-7-9 16:46 | 只看该作者
;P哈哈,我写的SLR也没看到你看啊~

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

643

帖子

2

粉丝