打印

多个模块的Testbench的写法?

[复制链接]
3463|6
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
swfc_qinmm|  楼主 | 2011-3-29 20:51 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
最近在做FPGA的实验时,需要对设计进行仿真验证。由于该设计有多个模块,就涉及到某个模块的输出信号可能是别个模块的输入信号,本人刚接触FPGA不久,对这种Testbench的写法还不熟悉,特发帖请教大家:如果我想观察FPGA内部的信号该怎么办?
PS:我在网上看到别人介绍说,可以将某个内部输入信号引到顶层模块,作为输入信号。假如这个信号既是某个模块的输入信号,有是别的模块的输出信号,如果我将其作为输入信号,那么它作为输出信号时,我该怎么定义?

相关帖子

沙发
diny| | 2011-3-30 09:52 | 只看该作者
不太懂,我也等结果。

使用特权

评论回复
板凳
swfc_qinmm|  楼主 | 2011-4-1 08:40 | 只看该作者
Mark

使用特权

评论回复
地板
SuperX-man| | 2011-4-1 11:03 | 只看该作者
楼主做的应该是软件仿真吧.
软件仿真的话,所有的内部外部信号都是可以在仿真界面中找到的.
不论是Modsim还是ISIM.你仿真后,在仿真界面的左边有一个列表,你展开列表就可以看到相对应的模块.点击模块就会把模块中包含的所有的信号都罗列出来了.

使用特权

评论回复
5
SuperX-man| | 2011-4-1 11:03 | 只看该作者
楼主做的应该是软件仿真吧.
软件仿真的话,所有的内部外部信号都是可以在仿真界面中找到的.
不论是Modsim还是ISIM.你仿真后,在仿真界面的左边有一个列表,你展开列表就可以看到相对应的模块.点击模块就会把模块中包含的所有的信号都罗列出来了.

使用特权

评论回复
6
bairan168| | 2011-4-2 10:03 | 只看该作者
看看学习学习。

使用特权

评论回复
7
swfc_qinmm|  楼主 | 2011-4-3 21:27 | 只看该作者
5# SuperX-man
嗯,谢谢斑竹了。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

3

主题

179

帖子

2

粉丝