打印

请教64位的计数器verilog 程序怎么写?

[复制链接]
2485|3
手机看帖
扫描二维码
随时随地手机跟帖
沙发
xwj| | 2007-11-20 10:02 | 只看该作者

会写8位的还不会写64位的?

没动脑筋吧?

使用特权

评论回复
板凳
xiaoyu9632|  楼主 | 2007-11-20 10:28 | 只看该作者

汗!

写8位的比较简单,最大计数也只有256而已,我现在是64位计数器,32位总线输出,数值比较大,而我用的芯片是EPM7128,我不知道会出现什么问题或者有些什么因素需要我考虑,经验欠缺,贴个代码吧,虽然感到惭愧,但希望各位不要见笑,我只是个菜鸟。
/***********ADDRESS DECODER***************
      Input:  global clk;
    Output: data_out[31:0];
***************************************/
module Bit_64count(clk,data_out);
input clk;
output [31:0] data_out;
reg [31:0] data_out;
reg[63:0] Bit_count;
reg Cnt_flag ;

always  @(clk)
begin
    Cnt_flag <= Cnt_flag == 1? 0:1;
    if(!Cnt_flag)
    begin
        Bit_count <= Bit_count + 1;
        data_out <= Bit_count[31:0];
    end
    else
        data_out <= Bit_count[63:32];
end 
    
endmodule

使用特权

评论回复
地板
xiaoyu9632|  楼主 | 2007-11-20 14:13 | 只看该作者

没有人回答么

怎么没人原意回答呢?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

23

主题

159

帖子

0

粉丝