打印
[matlab]

大家遇到过这样的问题吗?(zz)

[复制链接]
3249|20
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
AutoESL|  楼主 | 2011-7-1 12:33 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
当一个设计下到FPGA中去后发现功能不正确,代码好像也没有问题,于是插入chipscope看看,结果插了之后发现功能居然正确了(或至少有时正确),于是乎很不甘心,但是若将chipscope去掉怎么综合、P&R功能就是不对。大家遇到过吗?是由于约束做的不到位或ISE盲设置时P&R做的不够好造成的吗?

来自水木, 看到这个的时候想起自己以前也遇到过类似的情况.
很奇怪.

相关帖子

沙发
GoldSunMonkey| | 2011-7-1 13:21 | 只看该作者
功能没错,肯定是线延时造成的
可后仿检查

使用特权

评论回复
板凳
louis_liuzheng| | 2011-7-1 16:31 | 只看该作者
期待答案~~

使用特权

评论回复
地板
钻研的鱼| | 2011-7-1 17:41 | 只看该作者
遇到过,有时很不稳定,一般是时序不满足要求,或者代码不完全是同步设计

使用特权

评论回复
5
AutoESL|  楼主 | 2011-7-1 18:45 | 只看该作者
4# 钻研的鱼
按说加入chipscope之后资源占用的更多,更不容易满足时序吧?

使用特权

评论回复
6
dolido| | 2011-7-2 10:07 | 只看该作者
过来学习的

使用特权

评论回复
7
dolido| | 2011-7-2 10:07 | 只看该作者
:)

使用特权

评论回复
8
钻研的鱼| | 2011-7-2 11:42 | 只看该作者
是的,一般常理,不稳定的设计,再加上chipscope,应该更不稳定,但又没有可能负负得正?:D
最根本的问题,还是查找自己的设计。

使用特权

评论回复
9
明空| | 2011-7-3 00:25 | 只看该作者
是的,一般常理,不稳定的设计,再加上chipscope,应该更不稳定,但又没有可能负负得正?:D
最根本的问题,还是查找自己的设计。
钻研的鱼 发表于 2011-7-2 11:42
有可能的,有时候加上就好了

使用特权

评论回复
10
figi| | 2011-7-3 11:04 | 只看该作者
我还真没遇到这样的问题

使用特权

评论回复
11
GoldSunMonkey| | 2011-7-3 11:25 | 只看该作者
5# AutoESL 根本问题在于设计的问题,但是呢,还是有时候适当的改改东西也是可以解决的

使用特权

评论回复
12
AutoESL|  楼主 | 2011-7-3 12:28 | 只看该作者
也许一切都是机缘巧合

使用特权

评论回复
13
GoldSunMonkey| | 2011-7-3 12:54 | 只看该作者
:lol

使用特权

评论回复
14
dolido| | 2011-7-4 14:31 | 只看该作者
设计有问题是嘛?

使用特权

评论回复
15
dolido| | 2011-7-4 14:31 | 只看该作者
:)

使用特权

评论回复
16
SuperX-man| | 2011-7-4 16:10 | 只看该作者
遇到这种情况,一定是设计上存在隐患.
需要仔细排查的

使用特权

评论回复
17
ssdw| | 2011-7-6 21:04 | 只看该作者
超人说的对

使用特权

评论回复
18
ssdw| | 2011-7-6 21:04 | 只看该作者
确实需要排查

使用特权

评论回复
19
dan_xb| | 2011-7-7 12:19 | 只看该作者
你的约束不对,肯定还有没有约束到的地方

使用特权

评论回复
20
wewo| | 2011-7-7 21:52 | 只看该作者
没有约束?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:天使宝贝 博客IT人生 From C/C++/SystemC to Xilinx FPGA

0

主题

2517

帖子

3

粉丝