打印
[VHDL]

玩转VHDL017-从文件读取仿真数据(无自定义库版)

[复制链接]
783|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
ucx|  楼主 | 2018-3-1 17:04 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
IO_txt.rar (1.69 KB)
今天利用闲暇时间,写了个不需使用自定包ucx_2008pkg版本的从文件读取仿真数据实体模块dFromFile.vht,并增加了一个reload输入。数据只有在reload下降沿和仿真开始时加载文件内容。
如果不使用reload引脚,则只在仿真运行开始时加载文件。附件中一并给出top.vht和run.do。只需解压压缩包,建立工程编译后在transcript窗口输入do run.do即可见仿真结果。

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

ucx

28

主题

85

帖子

5

粉丝