打印

SRL-type的资源可以power-on initialization吗?

[复制链接]
2868|24
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
AutoESL|  楼主 | 2011-7-18 11:26 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 AutoESL 于 2011-9-30 15:35 编辑

以前发过帖子说shift register可以用SRL来实现。
但是SRL本身不带reset,那么如何做可以实现power-on initialization呢?
就是想上电的时候把SRL实现的shift register初始化成全0。

就像ram可以上电初始化成全0一样。

有懂的吗?

相关帖子

沙发
AutoESL|  楼主 | 2011-7-18 11:32 | 只看该作者
比如如下代码:不知道和ram那样使用power-on initialization行不行。

module shift0_core (
    clk,
    ce,
    din,
    addr,
    dout);

parameter DATA_WIDTH = 32'd18;
parameter ADDR_WIDTH = 32'd4;
parameter DEPTH = 32'd12;

input clk;
input ce;
input [DATA_WIDTH-1:0] din;
input [ADDR_WIDTH-1:0] addr;
output [DATA_WIDTH-1:0] dout;

reg[DATA_WIDTH-1:0] ShiftRegMem[0:DEPTH-1];
integer i;

always @ (posedge clk)
begin
    if (ce)
    begin
        for(i=0;i<DEPTH-1;i=i+1)
            ShiftRegMem[i+1] <= ShiftRegMem[i];
        ShiftRegMem[0] <= din;
    end
end

assign dout = ShiftRegMem[addr];

endmodule

使用特权

评论回复
板凳
AutoESL|  楼主 | 2011-7-18 14:21 | 只看该作者
顶起来

使用特权

评论回复
地板
GoldSunMonkey| | 2011-7-18 15:28 | 只看该作者
:)难道还是我来回答?我先不回答了。

使用特权

评论回复
5
AutoESL|  楼主 | 2011-7-18 17:17 | 只看该作者
发现很简单
直接用initial赋成0就可以了:)

使用特权

评论回复
6
AutoESL|  楼主 | 2011-7-18 17:17 | 只看该作者
而且不影响QoR

使用特权

评论回复
7
GoldSunMonkey| | 2011-7-18 17:39 | 只看该作者
;P哎,看来我还是不回答的好~~哈哈~~

给我点分呗:)哈哈~

使用特权

评论回复
8
AutoESL|  楼主 | 2011-7-18 19:12 | 只看该作者
7# GoldSunMonkey
你要那么多分干嘛?:lol

使用特权

评论回复
9
AutoESL|  楼主 | 2011-7-18 19:19 | 只看该作者
发现很简单
直接用initial赋成0就可以了:)
AutoESL 发表于 2011-7-18 17:17

初始化成其他值也可以
不影响QoR

使用特权

评论回复
10
GoldSunMonkey| | 2011-7-18 20:54 | 只看该作者
8# AutoESL
哈哈,我就是没事要着玩~

使用特权

评论回复
11
AutoESL|  楼主 | 2011-7-18 21:37 | 只看该作者
猴哥,你这么用功,分数嗖嗖的就上来了

使用特权

评论回复
12
AutoESL|  楼主 | 2011-7-19 10:55 | 只看该作者
实现方案:
verilog:
=====================================
initial
begin
    for(i=0;i<DEPTH;i=i+1)
        ShiftRegMem[i] <= {DATA_WIDTH{1'b0}};
end
=====================================

vhdl:
=====================================
signal ShiftRegMem : SRL_ARRAY := (others=>(others=>'0'));
=====================================

使用特权

评论回复
13
GoldSunMonkey| | 2011-7-19 15:48 | 只看该作者
11# AutoESL
哈哈,没事上来看看,这里面被人帮助和帮助别人都很快乐。

使用特权

评论回复
14
AutoESL|  楼主 | 2011-7-19 16:27 | 只看该作者
:D

使用特权

评论回复
15
GoldSunMonkey| | 2011-7-19 20:56 | 只看该作者
;P

使用特权

评论回复
16
wwde| | 2011-7-19 20:58 | 只看该作者
互帮互助呀

使用特权

评论回复
17
wwde| | 2011-7-19 20:59 | 只看该作者
感觉赛灵思论坛氛围非常好呀

使用特权

评论回复
18
GoldSunMonkey| | 2011-7-19 21:45 | 只看该作者
;P主要是我比较好~

使用特权

评论回复
19
dolido| | 2011-7-20 14:48 | 只看该作者
楼上很活跃嘛

使用特权

评论回复
20
dolido| | 2011-7-20 14:48 | 只看该作者
感觉年纪不大:lol

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:天使宝贝 博客IT人生 From C/C++/SystemC to Xilinx FPGA

0

主题

2517

帖子

3

粉丝