打印

三相SPWM逆变电路波形是重合的

[复制链接]
728|7
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
Rangar|  楼主 | 2018-3-30 10:39 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
Stannis| | 2018-3-30 11:15 | 只看该作者
查看一下触发信号是否有相位差

使用特权

评论回复
板凳
Brand2| | 2018-3-30 11:24 | 只看该作者
触发脉冲相位差多少??

使用特权

评论回复
地板
Soraka| | 2018-3-30 11:35 | 只看该作者
三相给定信号在做正弦化的乘正弦表

使用特权

评论回复
5
Garen2| | 2018-3-30 19:09 | 只看该作者
假如正弦表360个点 则起始位置A相是第0个点 B相应该是第239点 C相是119点 然后根据载波比进中断点数要N++ 检查一下

使用特权

评论回复
6
Ryze| | 2018-3-30 19:22 | 只看该作者
这个问题现象是硬件导致还是仿真导致的,要是仿真的话要是相位错开120度应该没问题,硬件那就不好说,具体的看你到底是怎么做这个逆变的

使用特权

评论回复
7
Varus| | 2018-3-30 19:28 | 只看该作者

三个桥臂设置成触发角互差120度了吗

使用特权

评论回复
8
Snow7| | 2018-3-30 19:37 | 只看该作者
同样遇到这种情况,就是检查不出哪错了

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

154

主题

763

帖子

0

粉丝