打印
[modelsim]

分频器仿真结果不对

[复制链接]
1470|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
我想仿真一个分频系数是12的分频器。
代码如下:
module div12(fm,clk);
output fm;
input clk;
reg fm;
reg[2:0] cnt=3'b000;
always @(posedge clk)
        begin
                if(cnt==3'b101)
                        begin fm <= ~fm;cnt<=0;end
                else
                        begin cnt<=cnt+1;end
        end
endmodule

testbench文件为:
`timescale 1ns/1ns
module div12_testbench;
wire fm=0;
reg clk=0;
div12 div12(fm,clk);
always
                #10 clk=~clk;
endmodule

仿真结果:



fm一直是一条红线。请问高手,怎么回事?如何解决?谢谢!

相关帖子

沙发
ucx| | 2018-4-18 20:56 | 只看该作者
几乎没用过verilog,所以猜测一下问题是:
代码第4行改为reg fm=0;
testbench中的wire fm=0;改为wire fm;

使用特权

评论回复
板凳
licaijunzhuce| | 2018-4-19 08:52 | 只看该作者
fm的初始值不确定,所以仿真一直是不定态。建议在程序中加入复位信号,给fm赋初值。

使用特权

评论回复
地板
Cjy_JDxy|  楼主 | 2018-4-19 09:02 | 只看该作者
ucx 发表于 2018-4-18 20:56
几乎没用过verilog,所以猜测一下问题是:
代码第4行改为reg fm=0;
testbench中的wire fm=0;改为wire fm; ...

好啦,你真是个大神。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:绿水本无忧因风皱面,青山原不老为雪白头。

553

主题

3520

帖子

19

粉丝