打印

新手求助,ISE里到底怎样才能使用IP?

[复制链接]
2074|7
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
ccmicky|  楼主 | 2011-7-28 16:40 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
jennyzheng| | 2011-7-29 10:09 | 只看该作者
配置好的Ip核用 View HDL Instantiation Template选项生成信息  把component declaration和component instantiation部分Copy出来添加到你设计的顶层文件中 把port map中的口连到你程序设计的相应的信号就可以了

使用特权

评论回复
评分
参与人数 1威望 +2 收起 理由
SuperX-man + 2
板凳
SuperX-man| | 2011-7-29 10:25 | 只看该作者
LS 正解.
补充一下就是LS说的component declaration和component instantiation部分是VHDL语言模式下生成的模板.
如果是Verilog的话,把INSTANTIATION Template下的模板复制到你的verilog文件中,再对模板中的引脚做修改即可
另外, View HDL Instantiation Template选项,是当你在ISE中点中你刚生成的IP核,再下方的Design窗口中展开Core Generator就可以看见了

使用特权

评论回复
地板
kangkai1222| | 2011-7-29 10:34 | 只看该作者
有解。。:lol:lol

使用特权

评论回复
5
AutoESL| | 2011-7-29 12:49 | 只看该作者
:D

使用特权

评论回复
6
davines| | 2011-9-14 18:46 | 只看该作者
谢谢。留印备用。

使用特权

评论回复
7
GoldSunMonkey| | 2011-9-14 20:50 | 只看该作者
其实这个一还是比较简单的,像Xman说的好好摸一下即可。

使用特权

评论回复
8
bairan168| | 2011-9-15 17:22 | 只看该作者
我得好好摸一摸了。;P

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

25

帖子

1

粉丝