打印
[matlab]

PACE中的怪异问题ERROR:HDLParsers:3562

[复制链接]
3952|7
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
墨攻1991|  楼主 | 2011-7-28 20:17 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 墨攻1991 于 2011-7-28 20:20 编辑

今天用同学的机子调程序仿真没错,但是在添加管脚约束的时候出现了让人很无语的问题:
“:PACE was unable to parse the HDL source file 'C:\...\XX.vhd”
ERROR:HDLParsers:3562 - pepExtractor.prj line 1  Expecting 'vhdl' or'verilog'   keyword,  found 'work'.
这是我第一次碰见这样的问题,花了半个小时把我认为可能的都放都改了,但是无果……
又用了半个小时,百度一下没结果,xilinx官网上的回答也让人云里雾里。
我以为是同学的软件装坏了,怀着憧憬又花了两个多小时卸载,但是重新运行的时候还是
同样的错误,我只想说:我很崩溃。
之后……之后我用google搜结果搜到了几个相关的网页,无奈全是英文很菜不想看,又用
百度无果后逼着自己把整个网页看完了,之后真相出来了……
下面是正解:所建的文件的路径里不能有空格,不然的话就会出现上述错误。
(OK HERE IS THE ANSWER ... IF THERE ARE SPACES IN THE DIRECTORY NAMESIN THE PATH THEN THIS PROBLEM AOCCURS.  Make sure all directory namesright back to the root directory have no spaces。)
你相信这个错误会这么无语吗,不管你信不信,我是信得。为了这个问题我是茶饭不思呀。感谢google、感谢原作者。附网址:http://www.fpgarelated.com/usenet/fpga/show/61895-1.php

现将错误整理,供大家引以为鉴。

相关帖子

沙发
GoldSunMonkey| | 2011-7-28 21:59 | 只看该作者
:handshake

使用特权

评论回复
板凳
maoyanketi| | 2011-7-28 22:45 | 只看该作者
经验啊

使用特权

评论回复
地板
hjjnet| | 2011-7-28 23:06 | 只看该作者
呵呵,如果是SDK干脆直接报错!所以,这个路径还是要注意的

使用特权

评论回复
5
jennyzheng| | 2011-7-29 09:22 | 只看该作者
时刻要注意~

使用特权

评论回复
6
dan_xb| | 2011-7-29 09:26 | 只看该作者
嗯,是这个原因,建立工程的时候,最好文件名和路径里面:
1. 不要有空格!!
2. 不要有中文!!

话说,LZ用的是哪个版本的ISE啊,还PACE?快换了吧,现在版本是13.2

使用特权

评论回复
7
AutoESL| | 2011-7-29 12:43 | 只看该作者
经验啊,呵呵

使用特权

评论回复
8
墨攻1991|  楼主 | 2011-7-29 20:44 | 只看该作者
6# dan_xb
用的是10.1当时老师那就是这个版本,就一直用着了。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:会思考才能成功

0

主题

105

帖子

1

粉丝