打印

新手请教一点语法问题

[复制链接]
1539|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
kun5979|  楼主 | 2011-8-7 14:12 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
自学FPGA没多久,对<=,=>,<=这几个符号老是搞混,比如下面这段程序中的语句
entity key_led is
port (
key_in : in std_logic_vector (3 downto 0);   --KEY INPUT
led_out : out std_logic_vector (5 downto 0)  --LED OUTPUT
);
end entity;
architecture key_led_arch of key_led is
begin
process(key_in)
begin
led_out <= (others => '1');   就是这段语句,到底是实现什么功能
case key_in is
when "1110" => led_out <= "111110";
when "1101" => led_out <= "111100";
when "1011" => led_out <= "111000";
when "0111" => led_out <= "110000";
when others => NULL;
end case;
end process;
end architecture;

相关帖子

沙发
xiamingmin163| | 2011-8-8 17:33 | 只看该作者
给led_out的6位全部置为'1'

使用特权

评论回复
板凳
混杰奋斗| | 2011-8-11 15:24 | 只看该作者
led_out <= (others => '1');   --led_out<=111111;因为是6位的。
when "1110" => --执行操作,当key_in=1110时,执行后面的操作
led_out <= "110000";--赋值操作,给6位led_out赋值。

使用特权

评论回复
地板
mmax| | 2011-8-11 21:05 | 只看该作者
我问大家一个问题:
led_out <= (others => '1');   
case key_in is
when "1110" => led_out <= "111110";
when "1101" => led_out <= "111100";
when "1011" => led_out <= "111000";
when "0111" => led_out <= "110000";
when others => NULL;


跟下面这样:
case key_in is
when "1110" => led_out <= "111110";
when "1101" => led_out <= "111100";
when "1011" => led_out <= "111000";
when "0111" => led_out <= "110000";
when others => led_out <= (others => '1');  

执行结果有没有区别? 占用的LE大小有没有区别?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

16

帖子

0

粉丝