打印
[CPLD]

时钟问题

[复制链接]
1682|5
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
HAORANAN123|  楼主 | 2011-9-9 15:20 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 HAORANAN123 于 2011-9-9 16:49 编辑

时钟信号能不能从FPGA的general-purpose i/o端口输入?为什么9.1不报错,而10.1却报错呢?A clock IOB / clock component pair have been found that are not placed at an optimal clock IOB /
   clock site pair. The clock component <WR_CLK_BUFGP/BUFG> is placed at site <BUFGMUX_X2Y10>. The IO component <WR_CLK>
   is placed at site <;P116>.  This will not allow the use of the fast path between the IO and the Clock buffer. If this
   sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .ucf
   file to demote this message to a WARNING and allow your design to continue. However, the use of this override is
   highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be
   corrected in the design. A list of all the COMP.PINs used in this clock placement rule is listed below. These
   examples can be used directly in the .ucf file to override this clock rule

相关帖子

沙发
hjjnet| | 2011-9-13 16:08 | 只看该作者
呵呵,告诉你解决方法了啊。也可以从DDR里面出去,那样是正道

使用特权

评论回复
板凳
午后苦丁茶| | 2011-9-13 21:31 | 只看该作者
学习一下。

使用特权

评论回复
地板
HAORANAN123|  楼主 | 2011-9-29 16:26 | 只看该作者
2# hjjnet
怎样从DDR出发呀?能说的详细点吗?

使用特权

评论回复
5
GoldSunMonkey| | 2011-9-29 20:24 | 只看该作者
:lol是呀详细点。

使用特权

评论回复
6
atua| | 2011-9-30 08:42 | 只看该作者
某个时钟信号(WR_CLK)是从普通管脚接入的,经过普通的布线资源后到达一个BUFG,这条线路上的延迟比较大,在这个时钟域上的路径上可能会出现很多的HOLD时序不满足,如果这是你期望的,就加约束以消除这条错误,否则就修改设计。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

26

主题

329

帖子

1

粉丝