打印

RTL级设计

[复制链接]
1941|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
tianyu01|  楼主 | 2011-9-26 13:48 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
随着数字系统设计的复杂性不断增加,在设计初期指定有效的设计策略对于整个设计是至关重要的。行为描述方式是对系统数学模型的描述。它包括RTL、算法级、系统级的描述。RTL是指通过描述寄存器之间数据流动来描述数字电路系统,是一个数据流的概念.寄存器与寄存器之间的数据处理由组合逻辑完成。RTL级是Verilog较高抽象层次,在这个抽象层次上,模块可以根据设计的算法来实现.而不用考虑具体的实现细节。  4.1寄存器整体电路设计
  下面是部分Verilog HDL源代码.描述了数据传输时相关寄存器的功能设置:先是对复位时各个寄存器的初始值,接下来是对寄存器进行功能设计.和数据传输时候产生的中断使能和标志位的设计。
  4.2整体时序仿真
  将上述Verilog代码编译,再写上对应测试代码进行验证。图5是寄存器的写操作的整体时序仿真波形图.验证了上述代码正确可行。

相关帖子

沙发
永远的不知| | 2011-9-26 13:59 | 只看该作者
这个好像和TI的MCU没啥关系,我给你转到FPGA版块吧。

使用特权

评论回复
板凳
weshiluwei6| | 2011-10-29 21:58 | 只看该作者
kankan kankan

使用特权

评论回复
地板
Zx阿旭| | 2011-10-30 09:08 | 只看该作者
学习了!

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

785

帖子

1

粉丝