打印

超级牛B的FPGA+AMCC芯片世界级难题!!

[复制链接]
4575|46
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
zhangqixue521|  楼主 | 2011-9-26 16:18 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
drentsi| | 2011-9-26 20:13 | 只看该作者
AMCC芯片有BUG,我们放弃AMCC了

使用特权

评论回复
板凳
GoldSunMonkey| | 2011-9-26 22:04 | 只看该作者
是什么不对呢?

使用特权

评论回复
地板
zhangqixue521|  楼主 | 2011-9-28 10:47 | 只看该作者
2# drentsi


请教下,你们是怎么确定有bug的呢?

使用特权

评论回复
5
AutoESL| | 2011-9-28 13:12 | 只看该作者
这个标题....

使用特权

评论回复
6
zhangqixue521|  楼主 | 2011-9-30 11:40 | 只看该作者
已经找到原因~~是板子上电顺序有问题

使用特权

评论回复
7
AutoESL| | 2011-9-30 13:00 | 只看该作者
写具体点呗, 供后来人学习

使用特权

评论回复
8
AutoESL| | 2011-9-30 13:15 | 只看该作者
另外,lz是怎么找到原因的呢? 方法可否分享一下

使用特权

评论回复
9
jakfens| | 2011-9-30 14:06 | 只看该作者
在一个风雨交加的夜晚、、、

使用特权

评论回复
10
AutoESL| | 2011-9-30 15:23 | 只看该作者
:lol

使用特权

评论回复
11
GoldSunMonkey| | 2011-10-1 18:46 | 只看该作者
上电顺序可能影响相关的功能。

使用特权

评论回复
12
zhangqixue521|  楼主 | 2011-10-1 22:07 | 只看该作者
小弟由于缺乏经验,这几块板子调了三个月吧~稍后会把过程总结下,请各位前辈指点!

使用特权

评论回复
13
zhangqixue521|  楼主 | 2011-10-2 15:39 | 只看该作者
这次子卡一共做了5块,师傅带领调试了第一块:插上光模块,将子卡插到主板上,接通了发包仪,配置好子卡的product-label,发包仪显示发送接收数据包正确,于是调通了。下来的自己调试,发包仪均显示收不到数据包。其中,有一块是由于晶振焊接反了,没能给芯片提供时钟。另外三块,调试了很久,它们极偶尔情况下,能正常工作,怀疑芯片初始化问题。软件在配置芯片的初始化过程显示,前面的寄存器配置并读取正确,但到后面,PLL没能锁住,所以断定是初始化问题;由于主板和子卡间通过HDLC接口连接,通过一片FPGA控制芯片工作,所以查看FPGA配置逻辑,没发现什么问题,只是ACK确认信号芯片未能给出;由于读取芯片的任意寄存器,总是返回相同的错误值,怀疑芯片没有正常工作。开始再次锁定复位和电源时序问题,复位通过存储示波器测量,高低电平正确,电源通过测量上升沿顺序,也没什么问题,除了有点毛刺;后来通过测量芯片下面的小电容,发现了一个奇怪的现象:芯片下一个1.2V电容滤波管脚用示波器探针划拉一下,子卡立即重新上电,然后正常工作了;查看1.2V供电的模块,使能端active时为低,通过短接到电源,再释放,引1.2V重新工作,子卡工作正常,发现了该问题。

使用特权

评论回复
评分
参与人数 2威望 +3 收起 理由
AutoESL + 1
GoldSunMonkey + 2 我很赞同
14
GoldSunMonkey| | 2011-10-2 18:46 | 只看该作者
兄弟加油啊~

使用特权

评论回复
15
AutoESL| | 2011-10-3 08:53 | 只看该作者
13# zhangqixue521
这种第一手的经验很有意义,兄弟,以后常来分享啊

使用特权

评论回复
16
AutoESL| | 2011-10-3 08:56 | 只看该作者
这个过程走下来,以后就有经验了
大牛都是这样练成的吧:D

使用特权

评论回复
17
GoldSunMonkey| | 2011-10-3 09:33 | 只看该作者
15# AutoESL 听AutoESL的话,快快长大~

使用特权

评论回复
18
GoldSunMonkey| | 2011-10-3 09:34 | 只看该作者
这个过程走下来,以后就有经验了
大牛都是这样练成的吧:D
AutoESL 发表于 2011-10-3 08:56

AutoESL就是这样炼成的

使用特权

评论回复
19
zhangqixue521|  楼主 | 2011-10-3 10:47 | 只看该作者
15# AutoESL
:lol

使用特权

评论回复
20
zhangqixue521|  楼主 | 2011-10-3 10:48 | 只看该作者
18# GoldSunMonkey
:lol :lol

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

4

主题

68

帖子

2

粉丝