打印
[matlab]

基于verilog的FPGA编程经验总结(XILINX ISE工具)zz

[复制链接]
3986|28
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
AutoESL|  楼主 | 2011-10-8 21:22 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
用了半个多月的ISE,几乎全是自学起来的,碰到了很多很多让人DT好久的小问题,百度也百不到,后来还是都解决了,为了尽量方便以后的刚学ISE的童鞋不再因为一些小问题而纠结,把这几天的经验总结了一下。好了,废话不多说,上料!
1.用ISE仿真的时候.所用变量一定要初始化. ISE默认初始量为"XXXXX", 而Quarters是默认为"00000"的, 其实实际上, 下到FPGA里后也是默认为0的,只是可以说ISE严谨得令人DT吧.
比如说用一个累加器, result = A+B+result ,必须保证在某一刻A, B, result都为定值时, 之后的数据才不会一直为"XXXXX";
2.所有的中间线(就是module间用来传递参数的信号)都要用wire定义一下. 这个ise一般会提醒的;
3.任何一个warning都是有用的;
4.debug时要多把中间变量设成输出,然后查看仿真波形;
5.其实,新版本还是比较好用的.虽然取消了test bench wave 功能. 但是最好学会编测试文件,后期比test bench wave好用, 而且貌似一旦测试信号太多,test bench wave就不显示某些输出了;
6. warning: Nod <....> is unconnected. 表明<...>所在的模块没用被执行,一般是参数没进来, 或者进来的参数不对("XXXX"之类)的原因引起的.
7.建立rom时候,Error: sinrom can't be resolved. 因为在把程序挪地方的时候,sinrom.ngc文件没有一同拷过来.
8.把"XXXXX"信号处理掉的一个方法可以是: 从信号中随意选出一位 if (data[0] == 0) ....; else if (data[0] == 1).... else data = 0; 就可以把"XXXX"信号给清成"0000"了. 可以很好的解决1中仿真的问题.
9.如果某一个不是时钟的信号被当作周期信号来用的话,就会出现 WARNING:Route:455 - CLK Net:trn_clk_OBUF may have excessive skew. because 0 CLK pins and 1 NON_CLK pins failed to route using a CLK template 不管也行.
10. 一开始用FPGA时不要害怕,用ucf文件配好引脚,直接LOAD,先不用管什么区域约束,以后进阶了再学. .
11.暂时就记得这些,以后再补充吧.
    学习一个新东西时一定要找会的人多请教, 自己摸索会很痛苦很痛苦地
评分
参与人数 1威望 +1 收起 理由
jakfens + 1

相关帖子

沙发
AutoESL|  楼主 | 2011-10-8 21:23 | 只看该作者
板凳
hjjnet| | 2011-10-8 23:21 | 只看该作者
呵呵,

使用特权

评论回复
地板
ertu| | 2011-10-9 12:42 | 只看该作者
过来学习下

使用特权

评论回复
5
ertu| | 2011-10-9 12:43 | 只看该作者
:)

使用特权

评论回复
6
AutoESL|  楼主 | 2011-10-12 17:24 | 只看该作者
沉得太快啊

使用特权

评论回复
7
xiao6666| | 2011-10-12 20:05 | 只看该作者
;P人多啊

使用特权

评论回复
8
AutoESL|  楼主 | 2011-10-12 22:02 | 只看该作者
嗯,最近大家都很活跃

使用特权

评论回复
9
GoldSunMonkey| | 2011-10-12 22:05 | 只看该作者
;P周年了嘛

使用特权

评论回复
10
AutoESL|  楼主 | 2011-10-12 22:35 | 只看该作者
过节了

使用特权

评论回复
11
opple| | 2011-10-13 07:10 | 只看该作者
过来了解下

使用特权

评论回复
12
opple| | 2011-10-13 07:10 | 只看该作者
很经典啊

使用特权

评论回复
13
kakio| | 2011-10-13 07:18 | 只看该作者
有时候自己钻研 很累  深有体会

使用特权

评论回复
14
kakio| | 2011-10-13 07:18 | 只看该作者
最好有个人带自己一下

使用特权

评论回复
15
AutoESL|  楼主 | 2011-10-13 09:03 | 只看该作者
能有人带是最好的,容易入门

使用特权

评论回复
16
GoldSunMonkey| | 2011-10-13 09:17 | 只看该作者
哈哈,你带呗

使用特权

评论回复
17
xiao6666| | 2011-10-13 09:19 | 只看该作者
带我

使用特权

评论回复
18
AutoESL|  楼主 | 2011-10-13 09:27 | 只看该作者
我正在找人带呢 :D

使用特权

评论回复
19
kakio| | 2011-10-14 07:18 | 只看该作者
不能随便带吧

使用特权

评论回复
20
kakio| | 2011-10-14 07:18 | 只看该作者
有啥条件呢

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:天使宝贝 博客IT人生 From C/C++/SystemC to Xilinx FPGA

0

主题

2517

帖子

3

粉丝