打印

【转】有感于摩根大通用XILINX FPGA做金融超级计算机

[复制链接]
4559|51
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
AutoESL|  楼主 | 2011-10-17 20:18 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
用FPGA加速金融工程的算法, 一直是一个有趣的研究课题, 伦敦的帝国大学的Wayne Luk (香港华人)一直是这方面的大牛,连带着香港这方面的研究也很活跃。
一直以为这个东东也就是研究研究而已, 采用的主流金融机构不多。7月份的新闻,和Xilinx上一期的Xcell杂志,让我发现,这个领域逐渐成熟,实用化了。
标志性的事件就是JP摩根采用了FPGA作超级计算机,中文新闻在此:
http://tech-news-cn.blogspot.com/2011/07/fpga.html
估计是机器翻译的,翻译得驴头不对马嘴。
正宗的新闻在这里:
http://www.computerworlduk.com/news/it-business/3290494/jp-morgan-supercomputer-offers-risk-analysis-in-near-real-time/
这个新闻有几个亮点,
1. 2008年原先JP摩根用来进行风险分析的算法, 在引入超级算机前,需要运行8个小时,因此,只有一天结束后,进行离线分析整个数据。 
2.引入超级计算机, 需要运行238秒。
3.在超级计算机中添加 FPGA,运行只需要12秒, 这样,JP摩根可以每12秒就得到一个风险分析报告,大大提高了投资决策的效率。
4.原先程序是C++的,改成Java
5.一个叫Maxeler的公司,提供把Java自动转化为FPGA的工具,因此使用者不需要会硬件设计。
6.JP摩根的数据中心位于JP摩根的总部大楼的夹层内,添加更多的传统的超级计算机节点目前还有地方,但是,大楼的供电已经满负荷, 无法支撑更多的传统计算机节点。 
7.采用FPGA后,提高速度的同时,大大降低了功耗,省电很多,供电可以满足需要,散热用的能量也可以少很多。 
更多的技术细节,比如C语言的哪一段程序是如何展开,进行XilinxFPGA运算加速的, 在Xilinx的Xcell杂志第74期可以找到。 链接在这里;
http://www.xilinx.com/publications/archives/xcell/Xcell74.pdf

相关帖子

沙发
AutoESL|  楼主 | 2011-10-17 20:18 | 只看该作者
板凳
GoldSunMonkey| | 2011-10-17 21:31 | 只看该作者
嘿嘿,这个思路不错。

使用特权

评论回复
地板
GoldSunMonkey| | 2011-10-17 21:31 | 只看该作者
什么时候,我也弄一个。

使用特权

评论回复
5
AutoESL|  楼主 | 2011-10-17 21:53 | 只看该作者
期待啊
那你就赚翻了

使用特权

评论回复
6
pontiff| | 2011-10-18 13:36 | 只看该作者
JAVA==>FPGA
这个牛啊,不知道实际使用情况如何。

使用特权

评论回复
7
AutoESL|  楼主 | 2011-10-18 13:48 | 只看该作者
直接C++==〉FPGA就可以了

使用特权

评论回复
8
爱在2012| | 2011-10-18 15:40 | 只看该作者
期待版主新作。

使用特权

评论回复
9
AutoESL|  楼主 | 2011-10-19 20:36 | 只看该作者
我也要做一个:D
谁提供C吧

使用特权

评论回复
10
GoldSunMonkey| | 2011-10-19 20:47 | 只看该作者
我也要做一个:D
谁提供C吧
AutoESL 发表于 2011-10-19 20:36

你有AutoESL软件啊?借我玩玩

使用特权

评论回复
11
AutoESL|  楼主 | 2011-10-19 21:00 | 只看该作者
这个。。。怎么借呢:lol

使用特权

评论回复
12
AutoESL|  楼主 | 2011-10-19 21:01 | 只看该作者
你找Xilinx要就是啊

使用特权

评论回复
13
GoldSunMonkey| | 2011-10-19 21:04 | 只看该作者
这个。。。怎么借呢:lol
AutoESL 发表于 2011-10-19 21:00

偷偷给,让我试用啊。

使用特权

评论回复
14
GoldSunMonkey| | 2011-10-19 21:04 | 只看该作者
你找Xilinx要就是啊
AutoESL 发表于 2011-10-19 21:01

你就是Xilinx啊

使用特权

评论回复
15
AutoESL|  楼主 | 2011-10-19 21:14 | 只看该作者
偷偷给,让我试用啊。
GoldSunMonkey 发表于 2011-10-19 21:04

:lol

使用特权

评论回复
16
AutoESL|  楼主 | 2011-10-19 21:14 | 只看该作者
你就是Xilinx啊
GoldSunMonkey 发表于 2011-10-19 21:04

找老大

使用特权

评论回复
17
GoldSunMonkey| | 2011-10-19 21:28 | 只看该作者
:lol
AutoESL 发表于 2011-10-19 21:14

;P

使用特权

评论回复
18
GoldSunMonkey| | 2011-10-19 21:28 | 只看该作者
找老大
AutoESL 发表于 2011-10-19 21:14

你就是老大

使用特权

评论回复
19
AutoESL|  楼主 | 2011-10-19 22:01 | 只看该作者
话说你们不是有吗

使用特权

评论回复
20
AutoESL|  楼主 | 2011-10-19 22:01 | 只看该作者
不知道是**版的还是其他渠道拿到的

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:天使宝贝 博客IT人生 From C/C++/SystemC to Xilinx FPGA

0

主题

2517

帖子

3

粉丝