打印

msp430基本时钟输出引脚问题

[复制链接]
4391|7
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
hhucwyd|  楼主 | 2011-10-21 12:34 | 只看该作者 |只看大图 回帖奖励 |倒序浏览 |阅读模式
刚接触430,请问 p1.4 与P5.5 P2.0与5.6做时钟有输出区别吗?

P1.4/SMCLK 16 I/O General-purpose digital I/O / SMCLK signal output
P2.0/ACLK/CA2 20 I/O General-purpose digital I/O / ACLK output/Comparator_A input
P5.4/MCLK 48 I/O General-purpose digital I/O / main system clock MCLK output
P5.5/SMCLK 49 I/O General-purpose digital I/O / submain system clock SMCLK output
P5.6/ACLK 50 I/O General-purpose digital I/O / auxiliary clock ACLK output

2011-10-21_124820.png (71.99 KB )

2011-10-21_124820.png

相关帖子

沙发
永远的不知| | 2011-10-21 20:08 | 只看该作者
本帖最后由 永远的不知 于 2011-10-21 20:23 编辑

个人感觉没有区别

使用特权

评论回复
板凳
yszong| | 2011-10-22 09:08 | 只看该作者
没啥区别吧

使用特权

评论回复
地板
sphinz| | 2011-10-25 18:53 | 只看该作者
只是选择不同的引脚而已

使用特权

评论回复
5
hhucwyd|  楼主 | 2011-11-6 18:59 | 只看该作者
为什么配置时只能通过p1.4 p2.0输出系统时钟?
却不能通过p5.4 p5.5 p5.6不能输出系统时钟。
还有PWM输出时候P1.2 P1.3/P1.6 1.7/P2.3 P2.4同时输出PWM信号,不能把其引脚通过PxSEL关掉?
2# 永远的不知

使用特权

评论回复
6
zhaohanjun123| | 2011-11-8 08:53 | 只看该作者
饿

使用特权

评论回复
7
永远的不知| | 2011-11-8 14:07 | 只看该作者
本帖最后由 永远的不知 于 2011-11-8 14:17 编辑

不能通过p5.4 p5.5 p5.6输出系统时钟吗?

数据手册上给出输出时钟对应的引脚设置,是这样设置的吗?

使用特权

评论回复
8
永远的不知| | 2011-11-8 14:37 | 只看该作者
PWM输出引脚,需要哪个就配置哪个,不需要的通过配置PxSEL可以作为普通IO使用。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

6

主题

85

帖子

2

粉丝