打印

verilog parameter 用法请教

[复制链接]
15874|34
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
yuxhuitx|  楼主 | 2011-10-21 14:45 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
eaivip| | 2011-10-21 14:49 | 只看该作者
试验之:)

使用特权

评论回复
板凳
GoldSunMonkey| | 2011-10-21 14:51 | 只看该作者
语法
parameter Name = ConstantExpression

使用特权

评论回复
地板
yuxhuitx|  楼主 | 2011-10-21 14:54 | 只看该作者
cnt <= ?SIZE'b00_0000_0000;这句中的SIZE好像要加一个什么符号  ??

使用特权

评论回复
5
GoldSunMonkey| | 2011-10-21 15:04 | 只看该作者
在Verilog-1995中,在不指定基数的情况下为大于32位的变量赋高阻值,
只能使其低32位为高阻值,其他高位会被设置为0,此时需要指定基数值才能将高位赋值为高阻。
Verilog-1995:
parameter WIDTH = 64;
reg [WIDTH-1:0] data;
data = 'bz; //fills with 'h00000000zzzzzzzz
data = 64'bz; //fills with 'hzzzzzzzzzzzzzzzz
而在Verilog-2001中并没有这一限制。
Verilog-2001:
parameter WIDTH = 64;
reg [WIDTH-1:0] data;
data = 'bz; //fills with 'hzzzzzzzzzzzzzzzz

使用特权

评论回复
6
yuxhuitx|  楼主 | 2011-10-21 15:22 | 只看该作者
收到,谢谢

使用特权

评论回复
7
GoldSunMonkey| | 2011-10-21 15:30 | 只看该作者
;P  给我加分:)

使用特权

评论回复
8
jakfens| | 2011-10-21 15:33 | 只看该作者
不给

使用特权

评论回复
9
AutoESL| | 2011-10-21 15:35 | 只看该作者
cnt
yuxhuitx 发表于 2011-10-21 14:54

可以这样写:   cnt <= {SIZE{1'b0}};

使用特权

评论回复
10
GoldSunMonkey| | 2011-10-21 15:37 | 只看该作者
不给
jakfens 发表于 2011-10-21 15:33

以后你的问题我不回答

使用特权

评论回复
11
AutoESL| | 2011-10-21 15:39 | 只看该作者
:lol

使用特权

评论回复
12
AutoESL| | 2011-10-21 15:40 | 只看该作者
斑竹威胁人啊;P

使用特权

评论回复
13
AutoESL| | 2011-10-21 15:41 | 只看该作者
好象我的回答才是lz想要的答案啊:D

使用特权

评论回复
14
yuxhuitx|  楼主 | 2011-10-21 16:06 | 只看该作者
:)

使用特权

评论回复
15
GoldSunMonkey| | 2011-10-21 16:20 | 只看该作者
好象我的回答才是lz想要的答案啊:D
AutoESL 发表于 2011-10-21 15:41

你的不是,他是问前面应该加什么。但是Verilog2001已经没有这个限制了。

使用特权

评论回复
16
AutoESL| | 2011-10-21 16:37 | 只看该作者
:D

使用特权

评论回复
17
GoldSunMonkey| | 2011-10-21 16:39 | 只看该作者
你觉得你押中楼了么??

使用特权

评论回复
18
yuxhuitx|  楼主 | 2011-10-21 22:03 | 只看该作者
兄弟,还是不行啊
parameter CHANNEL = 396;
reg [CHANNEL-1:0] pwm_cs;
54:pwm_cs <= {(CHANNEL-1){1'b0},1'b1;
65:pwm_cs <= {CHANNEL){1'b0}};
ERROR:HDLCompiler:806 - "E:/FPGA/PWM/PWM_SETxn.v" Line 54: Syntax error near ",".
ERROR:HDLCompiler:806 - "E:/FPGA/PWM/PWM_SETxn.v" Line 65: Syntax error near ")".
ERROR:ProjectMgmt:497 - 2 error(s) found while parsing design hierarchy.
ERROR:HDLCompiler:806 - "E:/FPGA/PWM/PWM_SETxn.v" Line 54: Syntax error near ",".
ERROR:HDLCompiler:806 - "E:/FPGA/PWM/PWM_SETxn.v" Line 65: Syntax error near ")".
ERROR:ProjectMgmt:497 - 2 error(s) found while parsing design hierarchy.

使用特权

评论回复
19
GoldSunMonkey| | 2011-10-21 22:05 | 只看该作者
用我的方法。他的方法,是他猜的

使用特权

评论回复
20
yuxhuitx|  楼主 | 2011-10-21 22:06 | 只看该作者
不好意思,64行是笔误,多了一个")";
拼接运算里是否不能存在“()”

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

20

主题

107

帖子

1

粉丝