源程序:
entity tmp_tj is
port(clk,swch:in std_logic;
tmp_s:in std_logic_vector(7 downto 0);
tmp_room: in std_logic_vector(7 downto 0);
ht,rg,swch:out std_logic
);
end tmp_tj ;
architecture oper of tmp_tj is
signal tm_in :std_logic_vector(7 downto 0);
signal tm_set :std_logic_vector(7 downto 0);
begin
tm_in<=tmp_room;
tm_set<=tmp_s;
process(clk,swch)
begin
if (swch='1') then
if(clk'event and clk='1' ) then
if (tm_in=tm_set) then
ht<='1';
rg<='1';
swi<='0';
elsif(tm_in>tm_set) then
ht<='0';
rg<='1';
else
ht<='1';
rg<='0';
end if;
end if;
end if;
end process;
end oper;
问题: 我不知道怎么跳出自动温度调节模式,我看了好久的语法书和修改好多方式都达不到预期效果 ,求大神指导谢谢
|