打印
[VHDL]

求帮忙看一下这个原理图写的vhdl为什么不一样

[复制链接]
846|7
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
library ieee;
use ieee.std_logic_1164.all;
ENTITY MUX21 IS
PORT(A,B,S:IN std_logic; Y:OUT std_logic);
END MUX21;
ARCHITECTURE one OF MUX21 IS
signal a1,b1,c1:std_logic;
begin
                a1<=A and S;
                b1<=not b;
                c1<=(not S) and (not b1);
                Y<=a1 or c1;
END architecture one;

6C168B1AD5DE077F8CF06C17D394E4C6.png (82.99 KB )

但是这是我想要的

但是这是我想要的

3.PNG (14.91 KB )

得到的原理图是这个

得到的原理图是这个

使用特权

评论回复

相关帖子

沙发
ococ| | 2019-5-24 13:51 | 只看该作者
正常吧。
有地方优化了。
b经过两次取反就是自己本身了。

使用特权

评论回复
板凳
洛杉矶been|  楼主 | 2019-5-24 21:20 | 只看该作者
ococ 发表于 2019-5-24 13:51
正常吧。
有地方优化了。
b经过两次取反就是自己本身了。

那怎么能得到图片那种方式呢

使用特权

评论回复
地板
洛杉矶been|  楼主 | 2019-5-24 21:50 | 只看该作者
ococ 发表于 2019-5-24 13:51
正常吧。
有地方优化了。
b经过两次取反就是自己本身了。

那怎么能得到图片那种方式呢

使用特权

评论回复
5
zhangmangui| | 2019-5-24 22:56 | 只看该作者
功能一样就可以了    如果要完全一样   直接用原理图连接吧

使用特权

评论回复
6
洛杉矶been|  楼主 | 2019-5-25 20:16 | 只看该作者
zhangmangui 发表于 2019-5-24 22:56
功能一样就可以了    如果要完全一样   直接用原理图连接吧

你好,这个是作业要求,怎么在quartus用原理图连接呢

使用特权

评论回复
7
zhangmangui| | 2019-5-26 21:18 | 只看该作者
洛杉矶been 发表于 2019-5-25 20:16
你好,这个是作业要求,怎么在quartus用原理图连接呢

这里我们默认您已经新建好了工程,在【File】菜单下点击【New】,即弹出用户设计建立向导,在【New】中选择【Design Files】-【Block Diagram/Schematic File】原理图文件输入

使用特权

评论回复
8
zhangmangui| | 2019-5-26 21:19 | 只看该作者
新建完原理图后调原理图库的东西  连接

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

4

帖子

0

粉丝