打印

基于FPGA的IIC总线设计

[复制链接]
1839|5
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
a080103011|  楼主 | 2011-11-13 16:41 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
Backkom80| | 2011-11-14 08:36 | 只看该作者
第一,了解I2C的时序
第二,设计你的I2C时序
第三,编写你的代码
......

使用特权

评论回复
板凳
a080103011|  楼主 | 2011-11-15 12:57 | 只看该作者
求代码~~~

使用特权

评论回复
地板
XLDZZ| | 2011-11-16 22:27 | 只看该作者
用状态机来写吧 其实不太难的

scl和sda是有时序要求的 多看些资料吧

这个不复杂的

使用特权

评论回复
5
可木| | 2011-11-17 23:00 | 只看该作者
网上有许多这方面的资料,楼主可以找找。。。。特权同学的那本书有节也是讲IIC程序的,可以参考下。关键是要先了解时序,开始和停止的条件,在编写的时候需要控制SDA的方向。

使用特权

评论回复
6
hlhfootbal| | 2011-11-28 10:33 | 只看该作者
我也在为这个事头痛

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

6

帖子

1

粉丝